网盘截图:

课程目录:


├── Quartus II 13.1.rar等多个文件
│   ├── Quartus II 13.1.rar
│   ├── Quartus II13.0.zip
├── FPGA
│   ├── zx-1开发板资料
│   │   ├── 至芯“炼狱传奇”系列教程
│   │   │   ├── 炼狱传奇文档目录
│   │   │   │   ├── 炼狱传奇目录.pdf
│   │   │   ├── 炼狱传奇教程文档
│   │   │   │   ├── 炼狱传奇-串口通信之战.pdf
│   │   │   │   ├── 炼狱传奇-同步计数器优化之战.pdf
│   │   │   │   ├── 炼狱传奇-赋值语句之战.pdf
│   │   │   │   ├── 炼狱传奇-BCD转二进制之战 .pdf
│   │   │   │   ├── 炼狱传奇-二选一数据选择器之战.pdf
│   │   │   │   ├── 炼狱传奇-RAM之战.pdf
│   │   │   │   ├── 炼狱传奇-三态门之战.pdf
│   │   │   │   ├── 炼狱传奇-generate之战.pdf
│   │   │   │   ├── 炼狱传奇-初涉战场.pdf
│   │   │   │   ├── 炼狱传奇-并串_串并转换之战.pdf
│   │   │   │   ├── 炼狱传奇-音乐播放器之战.pdf
│   │   │   │   ├── 炼狱传奇-矩阵键盘之战.pdf
│   │   │   │   ├── 炼狱传奇-LCD1602之战.pdf
│   │   │   │   ├── 炼狱传奇-流水线设计之战.pdf
│   │   │   │   ├── 炼狱传奇-关系运算符之战.pdf
│   │   │   │   ├── 炼狱传奇-12864液晶之战.pdf
│   │   │   │   ├── 炼狱传奇-移位和位拼运算符之战.pdf
│   │   │   │   ├── 炼狱传奇-task_function之战.pdf
│   │   │   │   ├── 炼狱传奇-ROM之战.pdf
│   │   │   │   ├── 炼狱传奇-SignalTapII之战.pdf
│   │   │   │   ├── 炼狱传奇-移位寄存器之战.pdf
│   │   │   │   ├── 炼狱传奇-锁相环之战.pdf
│   │   │   │   ├── 炼狱传奇-数码管之战.pdf
│   │   │   │   ├── 炼狱传奇-异步复位同步释放之战.pdf
│   │   │   │   ├── 炼狱传奇-边沿检测之战.pdf
│   │   │   │   ├── 炼狱传奇-基于边缘检测的按键消抖之战.pdf
│   │   │   │   ├── 炼狱传奇-VGA之战.pdf
│   │   │   │   ├── 炼狱传奇-基于尖峰脉冲的按键消抖之战.pdf
│   │   │   │   ├── 炼狱传奇-ps2接口之战.pdf
│   │   │   │   ├── 炼狱传奇-层次化设计之战.pdf
│   │   │   │   ├── 炼狱传奇-备战.pdf
│   │   │   │   ├── 炼狱传奇-FIFO之战.pdf
│   │   │   │   ├── 炼狱传奇-if_else与case之战.pdf
│   │   │   │   ├── 炼狱传奇-前仿真与后仿真之战.pdf
│   │   │   │   ├── 炼狱传奇-缩减运算符之战.pdf
│   │   │   │   ├── 炼狱传奇-倍频电路之战.pdf
│   │   │   │   ├── 炼狱传奇-双口RAM之战.pdf
│   │   │   │   ├── 炼狱传奇-任意分频之战.pdf
│   │   │   │   ├── 炼狱传奇-二进制到BCD之战.pdf
│   │   │   │   ├── 炼狱传奇-字符状态机之战.pdf
│   │   │   │   ├── 炼狱传奇-IIC之战.pdf
│   │   ├── 其它辅助软件工具
│   │   │   ├── zimo221.exe的取模方法.rar
│   │   │   ├── 字模提取V2.2.zip
│   │   │   ├── wireshark-win32-1.3.4.zip
│   │   ├── 配套开发板TCL脚本文件
│   │   │   ├── EP4CE10F17C8N.tcl
│   │   ├── 配套开发板规格书
│   │   │   ├── ZX_1开发板规格书.pdf
│   │   ├── 配套器件手册
│   │   │   ├── SDRAM
│   │   │   │   ├── HY57V281620A.pdf
│   │   │   │   ├── 128M-AS4C8M16S.pdf
│   │   │   ├── SN74HC138D
│   │   │   │   ├── SN74HC138_datasheet.pdf
│   │   │   ├── MAX232
│   │   │   │   ├── max232datasheet.pdf
│   │   │   ├── VGA
│   │   │   │   ├── VGA标准释义.pdf
│   │   │   │   ├── VGA.jpg
│   │   │   │   ├── VGA.pdf
│   │   │   ├── UART
│   │   │   │   ├── UART.pdf
│   │   │   ├── LCD12864
│   │   │   │   ├── JLX12864G-13903中文说明书.pdf
│   │   │   │   ├── g139s.c
│   │   │   │   ├── G139PHZ1.C
│   │   │   ├── DM9000A
│   │   │   │   ├── DM9000A_DataSheet_Cn.pdf
│   │   │   │   ├── DM9000A.pdf
│   │   │   │   ├── 网络控制器 DM9000A 在嵌入式系统中的应用.pdf
│   │   │   │   ├── DM9000A寄存器.pdf
│   │   │   ├── Cyclone4
│   │   │   │   ├── cyclone4-handbook.pdf
│   │   │   ├── RS232
│   │   │   │   ├── RS232.pdf
│   │   │   ├── 24LC64
│   │   │   │   ├── 24LC64.pdf
│   │   │   ├── Cy7c68013a
│   │   │   │   ├── CY7C68013中文数据手册.pdf
│   │   │   ├── PS2
│   │   │   │   ├── PS2接口协议.pdf
│   │   │   ├── M25P16
│   │   │   │   ├── M25P16.pdf
│   │   ├── 配套开发板电路图
│   │   │   ├── ZX_NO2.pdf
│   │   │   ├── ZX_NO3.pdf
│   │   │   ├── ZX_NO1.pdf
│   │   ├── TLC5620.pdf
│   │   ├── UART.pdf
│   │   ├── ZX_1开发板规格书.pdf
│   │   ├── TLC549.PDF
│   │   ├── 数字电子技术基础+阎石+第五版.pdf
│   ├── zx-2开发板资料
│   │   ├── 02原理图
│   │   │   ├── ZX_2.pdf
│   │   ├── 03芯片手册
│   │   │   ├── PL2303_HX
│   │   │   │   ├── PL2303_HX.pdf
│   │   │   ├── TLC5620
│   │   │   │   ├── TLC5620.pdf
│   │   │   ├── M25P16
│   │   │   │   ├── M25P16.pdf
│   │   │   ├── Cyclone4
│   │   │   │   ├── cyclone4-handbook.pdf
│   │   │   ├── 24LC64
│   │   │   │   ├── 24LC64.pdf
│   │   │   ├── VGA
│   │   │   │   ├── VGA.jpg
│   │   │   │   ├── VGA标准释义.pdf
│   │   │   │   ├── VGA.pdf
│   │   │   ├── PS2
│   │   │   │   ├── PS2鼠标键盘协议-仅含PS2部分.pdf
│   │   │   │   ├── PS2接口协议.pdf
│   │   │   ├── SDRAM
│   │   │   │   ├── HY57V281620A.pdf
│   │   │   │   ├── 128M-AS4C8M16S.pdf
│   │   │   ├── SN74HC138D
│   │   │   │   ├── SN74HC138_datasheet.pdf
│   │   │   ├── TLC549
│   │   │   │   ├── TLC549.PDF
│   │   │   ├── Cy7c68013a
│   │   │   │   ├── CY7C68013中文数据手册.pdf
│   │   ├── 01用户手册
│   │   │   ├── ZX-2开发板规格书.pdf
│   ├── 202005期
│   │   ├── 20200914
│   │   │   ├── EDA理论课程总结.mp4
│   │   ├── 0605
│   │   │   ├── 0605104045.avi
│   │   │   ├── 0605.zip
│   │   │   ├── 0605090539.avi
│   │   ├── 0702
│   │   │   ├── 0702103518.avi
│   │   │   ├── ps2_show.zip
│   │   │   ├── 0702090752.avi
│   │   ├── 20200911
│   │   │   ├── EDA理论课程总结.mp4
│   │   ├── 0803
│   │   │   ├── 武汉内训课程:有限状态机2.mp4
│   │   │   ├── 武汉内训课程:有限状态机1.mp4
│   │   ├── 20200909
│   │   │   ├── 状态机的可综合性及安全行为.mp4
│   │   ├── 0723
│   │   │   ├── 视频_0723
│   │   │   │   ├── 武汉内训课程:层次化和自上而下1.mp4
│   │   │   │   ├── 武汉内训课程:层次化和自上而下2.mp4
│   │   │   ├── 20200723
│   │   │   │   ├── rca_add
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── rca_add.pre_map.cdb
│   │   │   │   │   │   ├── rca_add.lpc.rdb
│   │   │   │   │   │   ├── rca_add.map.logdb
│   │   │   │   │   │   ├── rca_add.(3).cnf.hdb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── rca_add.(3).cnf.cdb
│   │   │   │   │   │   ├── rca_add.rtlv_sg.cdb
│   │   │   │   │   │   ├── rca_add.cbx.xml
│   │   │   │   │   │   ├── rca_add.hif
│   │   │   │   │   │   ├── rca_add.pre_map.hdb
│   │   │   │   │   │   ├── rca_add.map_bb.hdb
│   │   │   │   │   │   ├── rca_add.rtlv.hdb
│   │   │   │   │   │   ├── rca_add.(1).cnf.hdb
│   │   │   │   │   │   ├── prev_cmp_rca_add.qmsg
│   │   │   │   │   │   ├── rca_add.smart_action.txt
│   │   │   │   │   │   ├── rca_add.db_info
│   │   │   │   │   │   ├── rca_add.(0).cnf.cdb
│   │   │   │   │   │   ├── rca_add.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── rca_add.map.kpt
│   │   │   │   │   │   ├── rca_add.sgdiff.hdb
│   │   │   │   │   │   ├── rca_add.lpc.html
│   │   │   │   │   │   ├── rca_add.syn_hier_info
│   │   │   │   │   │   ├── rca_add.map.qmsg
│   │   │   │   │   │   ├── rca_add.sgdiff.cdb
│   │   │   │   │   │   ├── rca_add.tmw_info
│   │   │   │   │   │   ├── rca_add.map_bb.cdb
│   │   │   │   │   │   ├── rca_add.lpc.txt
│   │   │   │   │   │   ├── rca_add.(2).cnf.hdb
│   │   │   │   │   │   ├── rca_add.hier_info
│   │   │   │   │   │   ├── rca_add.(2).cnf.cdb
│   │   │   │   │   │   ├── rca_add.map.hdb
│   │   │   │   │   │   ├── rca_add.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── rca_add.sld_design_entry.sci
│   │   │   │   │   │   ├── rca_add.cmp.rdb
│   │   │   │   │   │   ├── rca_add.tis_db_list.ddb
│   │   │   │   │   │   ├── rca_add.map.cdb
│   │   │   │   │   │   ├── rca_add.map.bpm
│   │   │   │   │   │   ├── rca_add.(1).cnf.cdb
│   │   │   │   │   │   ├── rca_add.(0).cnf.hdb
│   │   │   │   │   │   ├── rca_add.cmp_merge.kpt
│   │   │   │   │   │   ├── rca_add.map_bb.logdb
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── rca_4
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── rca_half
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── rca_full
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── rca_add_tb
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── rca_add
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── rca_add_run_msim_rtl_verilog.do
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── rca_add.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── rca_add.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── rca_add.db_info
│   │   │   │   │   │   │   ├── rca_add.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── rca_add.root_partition.map.dpi
│   │   │   │   │   │   │   ├── rca_add.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── rca_add.root_partition.map.hdb
│   │   │   │   │   │   │   ├── rca_add.root_partition.map.kpt
│   │   │   │   │   │   │   ├── rca_add.root_partition.map.cdb
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── rca_half.sv
│   │   │   │   │   ├── rca_add.done
│   │   │   │   │   ├── rca_add.map.rpt
│   │   │   │   │   ├── rca_full.sv
│   │   │   │   │   ├── rca_add.sv
│   │   │   │   │   ├── rca_add_tb.sv
│   │   │   │   │   ├── rca_4.sv.bak
│   │   │   │   │   ├── rca_add_tb.sv.bak
│   │   │   │   │   ├── rca_add.qsf
│   │   │   │   │   ├── rca_full.sv.bak
│   │   │   │   │   ├── rca_half.sv.bak
│   │   │   │   │   ├── rca_4.sv
│   │   │   │   │   ├── rca_add.sv.bak
│   │   │   │   │   ├── rca_add_nativelink_simulation.rpt
│   │   │   │   │   ├── rca_add.flow.rpt
│   │   │   │   │   ├── rca_add.qpf
│   │   │   │   │   ├── rca_add.map.summary
│   │   │   │   ├── bin2bcd
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── bin2bcd.cbx.xml
│   │   │   │   │   │   ├── bin2bcd.rtlv.hdb
│   │   │   │   │   │   ├── bin2bcd.cmp_merge.kpt
│   │   │   │   │   │   ├── bin2bcd.db_info
│   │   │   │   │   │   ├── bin2bcd.(1).cnf.cdb
│   │   │   │   │   │   ├── bin2bcd.lpc.txt
│   │   │   │   │   │   ├── prev_cmp_bin2bcd.qmsg
│   │   │   │   │   │   ├── bin2bcd.map.logdb
│   │   │   │   │   │   ├── bin2bcd.map.cdb
│   │   │   │   │   │   ├── bin2bcd.hif
│   │   │   │   │   │   ├── bin2bcd.smart_action.txt
│   │   │   │   │   │   ├── bin2bcd.map_bb.logdb
│   │   │   │   │   │   ├── bin2bcd.cmp.rdb
│   │   │   │   │   │   ├── bin2bcd.lpc.html
│   │   │   │   │   │   ├── bin2bcd.map.bpm
│   │   │   │   │   │   ├── bin2bcd.(0).cnf.cdb
│   │   │   │   │   │   ├── bin2bcd.(1).cnf.hdb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── bin2bcd.sgdiff.cdb
│   │   │   │   │   │   ├── bin2bcd.sgdiff.hdb
│   │   │   │   │   │   ├── bin2bcd.hier_info
│   │   │   │   │   │   ├── bin2bcd.tis_db_list.ddb
│   │   │   │   │   │   ├── bin2bcd.(2).cnf.cdb
│   │   │   │   │   │   ├── bin2bcd.map_bb.cdb
│   │   │   │   │   │   ├── bin2bcd.sld_design_entry.sci
│   │   │   │   │   │   ├── bin2bcd.(2).cnf.hdb
│   │   │   │   │   │   ├── bin2bcd.map.qmsg
│   │   │   │   │   │   ├── bin2bcd.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── bin2bcd.(0).cnf.hdb
│   │   │   │   │   │   ├── bin2bcd.map_bb.hdb
│   │   │   │   │   │   ├── bin2bcd.map.hdb
│   │   │   │   │   │   ├── bin2bcd.syn_hier_info
│   │   │   │   │   │   ├── bin2bcd.lpc.rdb
│   │   │   │   │   │   ├── bin2bcd.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── bin2bcd.pre_map.hdb
│   │   │   │   │   │   ├── bin2bcd.rtlv_sg.cdb
│   │   │   │   │   │   ├── bin2bcd.pre_map.cdb
│   │   │   │   │   │   ├── bin2bcd.map.kpt
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── bin2bcd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── bcd_modify
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── bin2bcd_tb
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── bcd_singel_modify
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── bin2bcd_run_msim_rtl_verilog.do
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── bin2bcd.root_partition.map.hdb
│   │   │   │   │   │   │   ├── bin2bcd.root_partition.map.kpt
│   │   │   │   │   │   │   ├── bin2bcd.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── bin2bcd.root_partition.map.dpi
│   │   │   │   │   │   │   ├── bin2bcd.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── bin2bcd.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── bin2bcd.db_info
│   │   │   │   │   │   │   ├── bin2bcd.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── bin2bcd.root_partition.map.cdb
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── bin2bcd_nativelink_simulation.rpt
│   │   │   │   │   ├── bin2bcd.sv
│   │   │   │   │   ├── bcd_modify.sv
│   │   │   │   │   ├── bin2bcd_tb.sv.bak
│   │   │   │   │   ├── bin2bcd_tb.sv
│   │   │   │   │   ├── bin2bcd.map.rpt
│   │   │   │   │   ├── bin2bcd.qpf
│   │   │   │   │   ├── bcd_singel_modify.sv
│   │   │   │   │   ├── bin2bcd.map.summary
│   │   │   │   │   ├── bcd_singel_modify.sv.bak
│   │   │   │   │   ├── bin2bcd.qsf
│   │   │   │   │   ├── lpm_bcd_convertor_tb.sv
│   │   │   │   │   ├── bcd_modify.sv.bak
│   │   │   │   │   ├── bin2bcd.sv.bak
│   │   │   │   │   ├── bin2bcd.flow.rpt
│   │   │   │   │   ├── bin2bcd.done
│   │   │   │   ├── rca16
│   │   │   │   │   ├── rca16
│   │   │   │   │   │   ├── rca16.sim
│   │   │   │   │   │   │   ├── sim_1
│   │   │   │   │   │   │   │   ├── behav
│   │   │   │   │   │   │   │   │   ├── work
│   │   │   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   │   ├── msim
│   │   │   │   │   │   │   │   │   │   ├── xil_defaultlib
│   │   │   │   │   │   │   │   │   │   │   ├── @_opt
│   │   │   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qtl
│   │   │   │   │   │   │   │   │   │   │   │   ├── _lib2_0.qtl
│   │   │   │   │   │   │   │   │   │   │   │   ├── _lib4_0.qtl
│   │   │   │   │   │   │   │   │   │   │   │   ├── _lib2_0.qpg
│   │   │   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qpg
│   │   │   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qdb
│   │   │   │   │   │   │   │   │   │   │   │   ├── _lib4_0.qdb
│   │   │   │   │   │   │   │   │   │   │   │   ├── _lib3_0.qtl
│   │   │   │   │   │   │   │   │   │   │   │   ├── _lib4_0.qpg
│   │   │   │   │   │   │   │   │   │   │   │   ├── _lib.qdb
│   │   │   │   │   │   │   │   │   │   │   │   ├── _lib2_0.qdb
│   │   │   │   │   │   │   │   │   │   │   │   ├── _lib3_0.qdb
│   │   │   │   │   │   │   │   │   │   │   │   ├── _lib3_0.qpg
│   │   │   │   │   │   │   │   │   │   │   ├── _tempmsg
│   │   │   │   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qtl
│   │   │   │   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qdb
│   │   │   │   │   │   │   │   │   │   │   ├── _lib.qdb
│   │   │   │   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qpg
│   │   │   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   │   ├── compile.bat
│   │   │   │   │   │   │   │   │   ├── rca_add_tb.udo
│   │   │   │   │   │   │   │   │   ├── rca_add_tb_compile.do
│   │   │   │   │   │   │   │   │   ├── simulate.log
│   │   │   │   │   │   │   │   │   ├── rca_add_tb_wave.do
│   │   │   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   │   │   ├── rca_add_tb_simulate.do
│   │   │   │   │   │   │   │   │   ├── glbl.v
│   │   │   │   │   │   │   │   │   ├── simulate.bat
│   │   │   │   │   │   │   │   │   ├── compile.log
│   │   │   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   ├── rca16.ip_user_files
│   │   │   │   │   │   │   ├── README.txt
│   │   │   │   │   │   ├── rca16.cache
│   │   │   │   │   │   │   ├── compile_simlib
│   │   │   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── wt
│   │   │   │   │   │   │   │   ├── webtalk_pa.xml
│   │   │   │   │   │   │   │   ├── java_command_handlers.wdf
│   │   │   │   │   │   │   │   ├── project.wpc
│   │   │   │   │   │   ├── rca16.hw
│   │   │   │   │   │   │   ├── rca16.lpr
│   │   │   │   │   │   ├── rca16.xpr
│   │   │   │   ├── rca16.rar
│   │   │   │   ├── 练习题.docx
│   │   │   │   ├── rca_add.rar
│   │   │   │   ├── bin2bcd.rar
│   │   ├── 0518
│   │   │   ├── 0518100931.avi
│   │   │   ├── 0518090816.avi
│   │   │   ├── 0518113142.avi
│   │   │   ├── 0518.zip
│   │   ├── 20200903
│   │   │   ├── 下游快速反制SFB传输.mp4
│   │   ├── 0509
│   │   │   ├── 0509133902.avi
│   │   │   ├── 数字电子技术基础+阎石+第五版.pdf
│   │   │   ├── 0509093556.avi
│   │   ├── 20200901
│   │   │   ├── 下游快速反制传输.mp4
│   │   ├── 0813
│   │   │   ├── 20200813
│   │   │   │   ├── 练习题.docx
│   │   │   │   ├── 武汉内训08013.pdf
│   │   │   │   ├── 20200813.rar
│   │   │   ├── 时间机间隔控制TPS.mp4
│   │   ├── 0729
│   │   │   ├── 视频_0729
│   │   │   │   ├── 0729 (2).mp4
│   │   │   │   ├── 0729.mp4
│   │   │   │   ├── 0729 (1).mp4
│   │   ├── 0807
│   │   │   ├── 20200807
│   │   │   │   ├── period_signal_generator
│   │   │   │   │   ├── period_signal_generator.srcs
│   │   │   │   │   │   ├── sim_1
│   │   │   │   │   │   │   ├── new
│   │   │   │   │   │   │   │   ├── period_signal_generator_tb.sv
│   │   │   │   │   │   ├── sources_1
│   │   │   │   │   │   │   ├── new
│   │   │   │   │   │   │   │   ├── period_signal_generator.sv
│   │   │   │   │   ├── period_signal_generator.sim
│   │   │   │   │   │   ├── sim_1
│   │   │   │   │   │   │   ├── behav
│   │   │   │   │   │   │   │   ├── work
│   │   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── msim
│   │   │   │   │   │   │   │   │   ├── xil_defaultlib
│   │   │   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   │   │   ├── @_opt
│   │   │   │   │   │   │   │   │   │   │   ├── _lib4_0.qdb
│   │   │   │   │   │   │   │   │   │   │   ├── _lib2_0.qpg
│   │   │   │   │   │   │   │   │   │   │   ├── _lib2_0.qdb
│   │   │   │   │   │   │   │   │   │   │   ├── _lib3_0.qdb
│   │   │   │   │   │   │   │   │   │   │   ├── _lib3_0.qtl
│   │   │   │   │   │   │   │   │   │   │   ├── _lib.qdb
│   │   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qdb
│   │   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qtl
│   │   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qpg
│   │   │   │   │   │   │   │   │   │   │   ├── _lib3_0.qpg
│   │   │   │   │   │   │   │   │   │   │   ├── _lib4_0.qtl
│   │   │   │   │   │   │   │   │   │   │   ├── _lib2_0.qtl
│   │   │   │   │   │   │   │   │   │   │   ├── _lib4_0.qpg
│   │   │   │   │   │   │   │   │   │   ├── _tempmsg
│   │   │   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qtl
│   │   │   │   │   │   │   │   │   │   ├── _lib.qdb
│   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qdb
│   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qpg
│   │   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   │   ├── period_signal_generator_tb_compile.do
│   │   │   │   │   │   │   │   ├── period_signal_generator_tb_simulate.do
│   │   │   │   │   │   │   │   ├── simulate.log
│   │   │   │   │   │   │   │   ├── simulate.bat
│   │   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   │   ├── period_signal_generator_tb.udo
│   │   │   │   │   │   │   │   ├── glbl.v
│   │   │   │   │   │   │   │   ├── period_signal_generator_tb_wave.do
│   │   │   │   │   │   │   │   ├── compile.log
│   │   │   │   │   │   │   │   ├── compile.bat
│   │   │   │   │   ├── period_signal_generator.hw
│   │   │   │   │   │   ├── period_signal_generator.lpr
│   │   │   │   │   ├── period_signal_generator.ip_user_files
│   │   │   │   │   │   ├── README.txt
│   │   │   │   │   ├── period_signal_generator.cache
│   │   │   │   │   │   ├── wt
│   │   │   │   │   │   │   ├── java_command_handlers.wdf
│   │   │   │   │   │   │   ├── project.wpc
│   │   │   │   │   │   │   ├── webtalk_pa.xml
│   │   │   │   │   │   ├── compile_simlib
│   │   │   │   │   │   │   ├── modelsim
│   │   │   │   │   ├── period_signal_generator.xpr
│   │   │   │   ├── uart_transceiver_wh2020
│   │   │   │   │   ├── uart_transceiver_wh2020.hw
│   │   │   │   │   │   ├── uart_transceiver_wh2020.lpr
│   │   │   │   │   ├── uart_transceiver_wh2020.cache
│   │   │   │   │   │   ├── wt
│   │   │   │   │   │   │   ├── webtalk_pa.xml
│   │   │   │   │   │   │   ├── project.wpc
│   │   │   │   │   │   │   ├── java_command_handlers.wdf
│   │   │   │   │   │   │   ├── synthesis.wdf
│   │   │   │   │   ├── uart_transceiver_wh2020.ip_user_files
│   │   │   │   │   │   ├── ipstatic
│   │   │   │   │   │   │   ├── fifo_generator_v13_1_0
│   │   │   │   │   │   │   │   ├── hdl
│   │   │   │   │   │   │   │   │   ├── fifo_generator_v13_1_rfs.vhd
│   │   │   │   │   │   │   │   │   ├── fifo_generator_v13_1_rfs.v
│   │   │   │   │   │   │   │   ├── simulation
│   │   │   │   │   │   │   │   │   ├── fifo_generator_vlog_beh.v
│   │   │   │   │   │   ├── ip
│   │   │   │   │   │   │   ├── tran_fifo
│   │   │   │   │   │   │   │   ├── tran_fifo_stub.v
│   │   │   │   │   │   │   │   ├── tran_fifo_stub.vhdl
│   │   │   │   │   │   │   │   ├── tran_fifo.vho
│   │   │   │   │   │   │   │   ├── tran_fifo.veo
│   │   │   │   │   │   ├── sim_scripts
│   │   │   │   │   │   │   ├── tran_fifo
│   │   │   │   │   │   │   │   ├── riviera
│   │   │   │   │   │   │   │   │   ├── file_info.txt
│   │   │   │   │   │   │   │   │   ├── tran_fifo.sh
│   │   │   │   │   │   │   │   │   ├── compile.do
│   │   │   │   │   │   │   │   │   ├── README.txt
│   │   │   │   │   │   │   │   │   ├── tran_fifo.udo
│   │   │   │   │   │   │   │   │   ├── simulate.do
│   │   │   │   │   │   │   │   │   ├── wave.do
│   │   │   │   │   │   │   │   │   ├── glbl.v
│   │   │   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   │   │   ├── simulate.do
│   │   │   │   │   │   │   │   │   ├── file_info.txt
│   │   │   │   │   │   │   │   │   ├── tran_fifo.sh
│   │   │   │   │   │   │   │   │   ├── glbl.v
│   │   │   │   │   │   │   │   │   ├── README.txt
│   │   │   │   │   │   │   │   │   ├── compile.do
│   │   │   │   │   │   │   │   │   ├── wave.do
│   │   │   │   │   │   │   │   │   ├── tran_fifo.udo
│   │   │   │   │   │   │   │   ├── activehdl
│   │   │   │   │   │   │   │   │   ├── file_info.txt
│   │   │   │   │   │   │   │   │   ├── tran_fifo.udo
│   │   │   │   │   │   │   │   │   ├── compile.do
│   │   │   │   │   │   │   │   │   ├── simulate.do
│   │   │   │   │   │   │   │   │   ├── tran_fifo.sh
│   │   │   │   │   │   │   │   │   ├── README.txt
│   │   │   │   │   │   │   │   │   ├── wave.do
│   │   │   │   │   │   │   │   │   ├── glbl.v
│   │   │   │   │   │   │   │   ├── vcs
│   │   │   │   │   │   │   │   │   ├── README.txt
│   │   │   │   │   │   │   │   │   ├── glbl.v
│   │   │   │   │   │   │   │   │   ├── simulate.do
│   │   │   │   │   │   │   │   │   ├── tran_fifo.sh
│   │   │   │   │   │   │   │   │   ├── file_info.txt
│   │   │   │   │   │   │   │   ├── xsim
│   │   │   │   │   │   │   │   │   ├── vlog.prj
│   │   │   │   │   │   │   │   │   ├── file_info.txt
│   │   │   │   │   │   │   │   │   ├── xsim.ini
│   │   │   │   │   │   │   │   │   ├── vhdl.prj
│   │   │   │   │   │   │   │   │   ├── README.txt
│   │   │   │   │   │   │   │   │   ├── elab.opt
│   │   │   │   │   │   │   │   │   ├── glbl.v
│   │   │   │   │   │   │   │   │   ├── cmd.tcl
│   │   │   │   │   │   │   │   │   ├── tran_fifo.sh
│   │   │   │   │   │   │   │   ├── questa
│   │   │   │   │   │   │   │   │   ├── wave.do
│   │   │   │   │   │   │   │   │   ├── glbl.v
│   │   │   │   │   │   │   │   │   ├── compile.do
│   │   │   │   │   │   │   │   │   ├── tran_fifo.sh
│   │   │   │   │   │   │   │   │   ├── elaborate.do
│   │   │   │   │   │   │   │   │   ├── file_info.txt
│   │   │   │   │   │   │   │   │   ├── tran_fifo.udo
│   │   │   │   │   │   │   │   │   ├── simulate.do
│   │   │   │   │   │   │   │   │   ├── README.txt
│   │   │   │   │   │   │   │   ├── ies
│   │   │   │   │   │   │   │   │   ├── file_info.txt
│   │   │   │   │   │   │   │   │   ├── glbl.v
│   │   │   │   │   │   │   │   │   ├── tran_fifo.sh
│   │   │   │   │   │   │   │   │   ├── run.f
│   │   │   │   │   │   │   │   │   ├── README.txt
│   │   │   │   │   │   │   │   ├── README.txt
│   │   │   │   │   │   ├── README.txt
│   │   │   │   │   ├── uart_transceiver_wh2020.sim
│   │   │   │   │   ├── uart_transceiver_wh2020.srcs
│   │   │   │   │   │   ├── sources_1
│   │   │   │   │   │   │   ├── new
│   │   │   │   │   │   │   │   ├── uart_receiver.sv
│   │   │   │   │   │   │   │   ├── uart_transceiver_wh2020.sv
│   │   │   │   │   │   │   │   ├── uart_transimitter.sv
│   │   │   │   │   │   │   │   ├── tran_transmitter.sv
│   │   │   │   │   │   │   ├── ip
│   │   │   │   │   │   │   │   ├── tran_fifo
│   │   │   │   │   │   │   │   │   ├── fifo_generator_v13_1_0
│   │   │   │   │   │   │   │   │   │   ├── simulation
│   │   │   │   │   │   │   │   │   │   │   ├── fifo_generator_vlog_beh.v
│   │   │   │   │   │   │   │   │   │   ├── hdl
│   │   │   │   │   │   │   │   │   │   │   ├── fifo_generator_v13_1_rfs.vhd
│   │   │   │   │   │   │   │   │   │   │   ├── fifo_generator_v13_1_vhsyn_rfs.vhd
│   │   │   │   │   │   │   │   │   │   │   ├── fifo_generator_v13_1.vhd
│   │   │   │   │   │   │   │   │   │   │   ├── fifo_generator_v13_1_rfs.v
│   │   │   │   │   │   │   │   │   ├── sim
│   │   │   │   │   │   │   │   │   │   ├── tran_fifo.v
│   │   │   │   │   │   │   │   │   ├── synth
│   │   │   │   │   │   │   │   │   │   ├── tran_fifo.vhd
│   │   │   │   │   │   │   │   │   ├── blk_mem_gen_v8_3_2
│   │   │   │   │   │   │   │   │   │   ├── hdl
│   │   │   │   │   │   │   │   │   │   │   ├── blk_mem_gen_v8_3_vhsyn_rfs.vhd
│   │   │   │   │   │   │   │   │   │   │   ├── blk_mem_gen_v8_3.vhd
│   │   │   │   │   │   │   │   │   ├── doc
│   │   │   │   │   │   │   │   │   │   ├── fifo_generator_v13_1_changelog.txt
│   │   │   │   │   │   │   │   │   ├── tran_fifo
│   │   │   │   │   │   │   │   │   │   ├── tran_fifo.xdc
│   │   │   │   │   │   │   │   │   │   ├── tran_fifo_clocks.xdc
│   │   │   │   │   │   │   │   │   ├── tran_fifo.dcp
│   │   │   │   │   │   │   │   │   ├── tran_fifo_ooc.xdc
│   │   │   │   │   │   │   │   │   ├── tran_fifo_sim_netlist.vhdl
│   │   │   │   │   │   │   │   │   ├── tran_fifo.xml
│   │   │   │   │   │   │   │   │   ├── tran_fifo.vho
│   │   │   │   │   │   │   │   │   ├── tran_fifo_stub.vhdl
│   │   │   │   │   │   │   │   │   ├── tran_fifo_stub.v
│   │   │   │   │   │   │   │   │   ├── tran_fifo_sim_netlist.v
│   │   │   │   │   │   │   │   │   ├── tran_fifo.xci
│   │   │   │   │   │   │   │   │   ├── tran_fifo.veo
│   │   │   │   │   ├── uart_transceiver_wh2020.runs
│   │   │   │   │   │   ├── .jobs
│   │   │   │   │   │   │   ├── vrs_config_1.xml
│   │   │   │   │   │   ├── tran_fifo_synth_1
│   │   │   │   │   │   │   ├── .Xil
│   │   │   │   │   │   │   ├── gen_run.xml
│   │   │   │   │   │   │   ├── ISEWrap.js
│   │   │   │   │   │   │   ├── ISEWrap.sh
│   │   │   │   │   │   │   ├── tran_fifo.tcl
│   │   │   │   │   │   │   ├── vivado.jou
│   │   │   │   │   │   │   ├── runme.log
│   │   │   │   │   │   │   ├── vivado.pb
│   │   │   │   │   │   │   ├── runme.sh
│   │   │   │   │   │   │   ├── rundef.js
│   │   │   │   │   │   │   ├── tran_fifo.dcp
│   │   │   │   │   │   │   ├── .vivado.begin.rst
│   │   │   │   │   │   │   ├── tran_fifo.vds
│   │   │   │   │   │   │   ├── project.wdf
│   │   │   │   │   │   │   ├── .Vivado_Synthesis.queue.rst
│   │   │   │   │   │   │   ├── .vivado.end.rst
│   │   │   │   │   │   │   ├── dont_touch.xdc
│   │   │   │   │   │   │   ├── runme.bat
│   │   │   │   │   │   │   ├── tran_fifo_utilization_synth.pb
│   │   │   │   │   │   │   ├── tran_fifo_utilization_synth.rpt
│   │   │   │   │   │   │   ├── htr.txt
│   │   │   │   │   ├── uart_transceiver_wh2020.xpr
│   │   │   │   ├── 武汉内训0807.pdf
│   │   │   ├── 武汉内训课程:线性序列机1.mp4
│   │   │   ├── 武汉内训课程:线性序列机2.mp4
│   │   ├── 0517
│   │   │   ├── 0517104732.avi
│   │   │   ├── 0517090355.avi
│   │   │   ├── 0517.zip
│   │   ├── 0705
│   │   │   ├── 0705110632.avi
│   │   │   ├── 0705.zip
│   │   │   ├── 0705090740.avi
│   │   ├── 0510
│   │   │   ├── and_gate
│   │   │   │   ├── q_prj
│   │   │   │   │   ├── output_files
│   │   │   │   │   │   ├── and_gate.fit.smsg
│   │   │   │   │   │   ├── and_gate.flow.rpt
│   │   │   │   │   │   ├── and_gate.sta.summary
│   │   │   │   │   │   ├── and_gate.map.summary
│   │   │   │   │   │   ├── and_gate.fit.rpt
│   │   │   │   │   │   ├── and_gate.map.rpt
│   │   │   │   │   │   ├── and_gate.fit.summary
│   │   │   │   │   │   ├── and_gate.jdi
│   │   │   │   │   │   ├── and_gate.tis_db_list.ddb
│   │   │   │   │   │   ├── and_gate.asm.rpt
│   │   │   │   │   │   ├── and_gate.sof
│   │   │   │   │   │   ├── and_gate.sta.rpt
│   │   │   │   │   │   ├── and_gate.done
│   │   │   │   │   │   ├── and_gate.pin
│   │   │   │   │   │   ├── and_gate.eda.rpt
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── and_gate.sgdiff.cdb
│   │   │   │   │   │   ├── and_gate.syn_hier_info
│   │   │   │   │   │   ├── and_gate.smart_action.txt
│   │   │   │   │   │   ├── and_gate.sgate_sm.rvd
│   │   │   │   │   │   ├── and_gate.cycloneive_io_sim_cache.45um_ss_1200mv_0c_slow.hsd
│   │   │   │   │   │   ├── and_gate.vpr.ammdb
│   │   │   │   │   │   ├── and_gate.map.bpm
│   │   │   │   │   │   ├── and_gate.sta.qmsg
│   │   │   │   │   │   ├── and_gate.ace_cmp.hdb
│   │   │   │   │   │   ├── and_gate.tmw_info
│   │   │   │   │   │   ├── and_gate.sld_design_entry.sci
│   │   │   │   │   │   ├── and_gate.tiscmp.fastest_slow_1200mv_0c.ddb
│   │   │   │   │   │   ├── and_gate.cbx.xml
│   │   │   │   │   │   ├── and_gate.ipinfo
│   │   │   │   │   │   ├── and_gate.map_bb.cdb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── and_gate.cmp.cdb
│   │   │   │   │   │   ├── prev_cmp_and_gate.qmsg
│   │   │   │   │   │   ├── and_gate.eco.cdb
│   │   │   │   │   │   ├── and_gate.(2).cnf.cdb
│   │   │   │   │   │   ├── and_gate.(2).cnf.hdb
│   │   │   │   │   │   ├── and_gate.tiscmp.slow_1200mv_85c.ddb
│   │   │   │   │   │   ├── and_gate.asm_labs.ddb
│   │   │   │   │   │   ├── and_gate.cmp.idb
│   │   │   │   │   │   ├── and_gate.tiscmp.fast_1200mv_0c.ddb
│   │   │   │   │   │   ├── and_gate.cmp.bpm
│   │   │   │   │   │   ├── and_gate.lpc.html
│   │   │   │   │   │   ├── and_gate.hier_info
│   │   │   │   │   │   ├── and_gate.cmp.rdb
│   │   │   │   │   │   ├── and_gate.map.logdb
│   │   │   │   │   │   ├── and_gate.tiscmp.fastest_slow_1200mv_85c.ddb
│   │   │   │   │   │   ├── and_gate.hif
│   │   │   │   │   │   ├── and_gate.map.ammdb
│   │   │   │   │   │   ├── and_gate.rtlv_sg.cdb
│   │   │   │   │   │   ├── and_gate.map_bb.hdb
│   │   │   │   │   │   ├── and_gate.sta.rdb
│   │   │   │   │   │   ├── and_gate.map.qmsg
│   │   │   │   │   │   ├── and_gate.map.cdb
│   │   │   │   │   │   ├── and_gate.tis_db_list.ddb
│   │   │   │   │   │   ├── and_gate.pplq.rdb
│   │   │   │   │   │   ├── and_gate.cycloneive_io_sim_cache.45um_ss_1200mv_85c_slow.hsd
│   │   │   │   │   │   ├── and_gate.asm.qmsg
│   │   │   │   │   │   ├── and_gate.(1).cnf.hdb
│   │   │   │   │   │   ├── and_gate.root_partition.map.reg_db.cdb
│   │   │   │   │   │   ├── and_gate.fit.qmsg
│   │   │   │   │   │   ├── and_gate.sta_cmp.8_slow_1200mv_85c.tdb
│   │   │   │   │   │   ├── and_gate.eda.qmsg
│   │   │   │   │   │   ├── and_gate.rpp.qmsg
│   │   │   │   │   │   ├── and_gate.cmp.logdb
│   │   │   │   │   │   ├── and_gate.cmp.kpt
│   │   │   │   │   │   ├── and_gate.cmp_merge.kpt
│   │   │   │   │   │   ├── and_gate.map.hdb
│   │   │   │   │   │   ├── and_gate.db_info
│   │   │   │   │   │   ├── and_gate.lpc.txt
│   │   │   │   │   │   ├── and_gate.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
│   │   │   │   │   │   ├── and_gate.rtlv.hdb
│   │   │   │   │   │   ├── and_gate.map.kpt
│   │   │   │   │   │   ├── and_gate.cmp.hdb
│   │   │   │   │   │   ├── and_gate.(1).cnf.cdb
│   │   │   │   │   │   ├── and_gate.sgdiff.hdb
│   │   │   │   │   │   ├── and_gate.ace_cmp.bpm
│   │   │   │   │   │   ├── and_gate.map.rdb
│   │   │   │   │   │   ├── and_gate.ace_cmp.cdb
│   │   │   │   │   │   ├── and_gate.(0).cnf.hdb
│   │   │   │   │   │   ├── and_gate.map_bb.logdb
│   │   │   │   │   │   ├── and_gate.routing.rdb
│   │   │   │   │   │   ├── and_gate.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── and_gate.sgate.rvd
│   │   │   │   │   │   ├── and_gate.lpc.rdb
│   │   │   │   │   │   ├── and_gate.pre_map.hdb
│   │   │   │   │   │   ├── and_gate.(0).cnf.cdb
│   │   │   │   │   │   ├── and_gate.tiscmp.slow_1200mv_0c.ddb
│   │   │   │   │   │   ├── and_gate.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── and_gate.pti_db_list.ddb
│   │   │   │   │   │   ├── and_gate.asm.rdb
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── and_gate
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── top
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── top_tb
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── and_gate_modelsim.xrf
│   │   │   │   │   │   │   ├── and_gate.vo
│   │   │   │   │   │   │   ├── and_gate_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── and_gate.vt
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── and_gate_run_msim_rtl_verilog.do.bak1
│   │   │   │   │   │   │   ├── and_gate_run_msim_rtl_verilog.do.bak
│   │   │   │   │   │   │   ├── and_gate.sft
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── and_gate.tis_db_list.ddb
│   │   │   │   │   │   ├── qsim
│   │   │   │   │   │   │   ├── work
│   │   │   │   │   │   │   │   ├── and_gate
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── and_gate_vlg_vec_tst
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── and_gate_vlg_sample_tst
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── and_gate_vlg_check_tst
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   ├── and_gate.vt
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── and_gate.vo
│   │   │   │   │   │   │   ├── transcript
│   │   │   │   │   │   │   ├── and_gate.sim.vwf
│   │   │   │   │   │   │   ├── and_gate.msim.vwf
│   │   │   │   │   │   │   ├── and_gate.do
│   │   │   │   │   │   │   ├── and_gate.msim.vcd
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── and_gate.root_partition.map.kpt
│   │   │   │   │   │   │   ├── and_gate.root_partition.cmp.logdb
│   │   │   │   │   │   │   ├── and_gate.root_partition.cmp.dfp
│   │   │   │   │   │   │   ├── and_gate.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── and_gate.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── and_gate.root_partition.cmp.cdb
│   │   │   │   │   │   │   ├── and_gate.root_partition.cmp.hdb
│   │   │   │   │   │   │   ├── and_gate.root_partition.map.cdb
│   │   │   │   │   │   │   ├── and_gate.root_partition.map.dpi
│   │   │   │   │   │   │   ├── and_gate.root_partition.cmp.kpt
│   │   │   │   │   │   │   ├── and_gate.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── and_gate.root_partition.cmp.ammdb
│   │   │   │   │   │   │   ├── and_gate.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── and_gate.root_partition.cmp.rcfdb
│   │   │   │   │   │   │   ├── and_gate.db_info
│   │   │   │   │   │   │   ├── and_gate.root_partition.map.hdb
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── and_gate_nativelink_simulation.rpt
│   │   │   │   │   ├── and_gate.qsf
│   │   │   │   │   ├── and_gate.qws
│   │   │   │   │   ├── and_gate.bsf
│   │   │   │   │   ├── and_gate.qpf
│   │   │   │   ├── src
│   │   │   │   │   ├── and_gate.v
│   │   │   │   │   ├── top.v.bak
│   │   │   │   │   ├── top.v
│   │   │   │   │   ├── and_gate_v2.v
│   │   │   │   │   ├── and_gate_v2.v.bak
│   │   │   │   │   ├── top_p.bdf
│   │   │   │   │   ├── and_gate.v.bak
│   │   │   │   ├── doc
│   │   │   │   │   ├── and_gate.doc
│   │   │   │   ├── sim
│   │   │   │   │   ├── and_gate.vwf
│   │   │   │   │   ├── top_tb.v.bak
│   │   │   │   │   ├── and_gate_tb.v
│   │   │   │   │   ├── and_gate_tb.v.bak
│   │   │   │   │   ├── top_tb.v
│   │   │   ├── 0510092250.avi
│   │   │   ├── 0510103027.avi
│   │   ├── 20201022
│   │   │   ├── 北京至芯2007届课程 (1).mp4
│   │   ├── 0608
│   │   │   ├── 0608133556.avi
│   │   │   ├── digital_clock_v2.zip
│   │   │   ├── 0608151442.avi
│   │   ├── 20200902
│   │   │   ├── 具有FSMD控制的SAB反制传输.mp4
│   │   ├── 0810
│   │   │   ├── 20200810
│   │   │   │   ├── uart_tran设计文档.docx
│   │   │   │   ├── 武汉内训08010.pdf
│   │   │   │   ├── uart_transceiver_wh2020.rar
│   │   │   │   ├── uart_tran.zip
│   │   │   ├── 武汉内训课程:同步电路和节点.mp4
│   │   ├── 20201030
│   │   │   ├── 北京至芯2007届就业班课程 (2).mp4
│   │   ├── 0618
│   │   │   ├── 0618090500.avi
│   │   │   ├── 0618101629.avi
│   │   │   ├── 618.zip
│   │   ├── 0711
│   │   │   ├── 视频_0711
│   │   │   │   ├── 0711142603.avi
│   │   │   │   ├── 0711132157.avi
│   │   │   │   ├── 0711131813.avi
│   │   │   │   ├── 0711101523.avi
│   │   │   │   ├── 0711131846.avi
│   │   │   │   ├── 0711131909.avi
│   │   │   │   ├── 0711150855.avi
│   │   │   │   ├── 0711131630.avi
│   │   │   │   ├── 0711150941.avi
│   │   │   │   ├── 0711131743.avi
│   │   │   ├── 资料_0711
│   │   │   │   ├── vending_machine
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── vending_machine.root_partition.map.dpi
│   │   │   │   │   │   │   ├── vending_machine.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── vending_machine.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── vending_machine.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── vending_machine.root_partition.map.cdb
│   │   │   │   │   │   │   ├── vending_machine.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── vending_machine.root_partition.map.kpt
│   │   │   │   │   │   │   ├── vending_machine.root_partition.map.hdb
│   │   │   │   │   │   │   ├── vending_machine.db_info
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── vending_machine.db_info
│   │   │   │   │   │   ├── vending_machine.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── vending_machine.rtlv_sg.cdb
│   │   │   │   │   │   ├── vending_machine.map.hdb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── vending_machine.map.cdb
│   │   │   │   │   │   ├── vending_machine.cmp.rdb
│   │   │   │   │   │   ├── vending_machine.hier_info
│   │   │   │   │   │   ├── vending_machine.sgdiff.hdb
│   │   │   │   │   │   ├── vending_machine.map_bb.cdb
│   │   │   │   │   │   ├── vending_machine.map_bb.hdb
│   │   │   │   │   │   ├── vending_machine.map.logdb
│   │   │   │   │   │   ├── vending_machine.syn_hier_info
│   │   │   │   │   │   ├── prev_cmp_vending_machine.qmsg
│   │   │   │   │   │   ├── vending_machine.sgdiff.cdb
│   │   │   │   │   │   ├── vending_machine.(0).cnf.hdb
│   │   │   │   │   │   ├── vending_machine.hif
│   │   │   │   │   │   ├── vending_machine.cbx.xml
│   │   │   │   │   │   ├── vending_machine.map.qmsg
│   │   │   │   │   │   ├── vending_machine.lpc.rdb
│   │   │   │   │   │   ├── vending_machine.sld_design_entry.sci
│   │   │   │   │   │   ├── vending_machine.smart_action.txt
│   │   │   │   │   │   ├── vending_machine.map.kpt
│   │   │   │   │   │   ├── vending_machine.map_bb.logdb
│   │   │   │   │   │   ├── vending_machine.lpc.txt
│   │   │   │   │   │   ├── vending_machine.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── vending_machine.(0).cnf.cdb
│   │   │   │   │   │   ├── vending_machine.map.bpm
│   │   │   │   │   │   ├── vending_machine.pre_map.cdb
│   │   │   │   │   │   ├── vending_machine.lpc.html
│   │   │   │   │   │   ├── vending_machine.rtlv.hdb
│   │   │   │   │   │   ├── vending_machine.cmp_merge.kpt
│   │   │   │   │   │   ├── vending_machine.tis_db_list.ddb
│   │   │   │   │   │   ├── vending_machine.pre_map.hdb
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── vm_mealy_cn_ebd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── vm_mealy_cn_ebd_tb
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   ├── vending_machine_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   ├── 校园自动售货机设计报告.docx
│   │   │   │   │   ├── vending_machine.done
│   │   │   │   │   ├── vending_machine.flow.rpt
│   │   │   │   │   ├── vm_mealy_cn_ebd_tb.sv.bak
│   │   │   │   │   ├── vending_machine.map.rpt
│   │   │   │   │   ├── vm_mealy_cn_ebd.sv
│   │   │   │   │   ├── vending_machine.qpf
│   │   │   │   │   ├── vending_machine.map.summary
│   │   │   │   │   ├── vm_mealy_cn_ebd_tb.sv
│   │   │   │   │   ├── vending_machine.qsf
│   │   │   │   │   ├── vm_mealy_cn_ebd.sv.bak
│   │   │   │   │   ├── vending_machine_nativelink_simulation.rpt
│   │   │   │   ├── vending_machine.rar
│   │   │   │   ├── 习题.docx
│   │   ├── 0715
│   │   │   ├── 视频_0715
│   │   │   │   ├── 0715132844.avi
│   │   │   │   ├── 0715094754.avi
│   │   │   │   ├── 0715090026.avi
│   │   │   ├── 资料_0715
│   │   │   │   ├── uart_transceiver
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── tran_buffer
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── uart_transmitter
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── rec_lsm
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── uart_receiver
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── rec_buffer
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── tran_lsm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── uart_transceiver_tb
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── uart_transceiver
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── uart_transceiver_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── uart_transceiver.syn_hier_info
│   │   │   │   │   │   ├── uart_transceiver.(6).cnf.hdb
│   │   │   │   │   │   ├── alt_synch_pipe_36d.tdf
│   │   │   │   │   │   ├── dcfifo_iif1.tdf
│   │   │   │   │   │   ├── uart_transceiver.(22).cnf.cdb
│   │   │   │   │   │   ├── uart_transceiver.(19).cnf.cdb
│   │   │   │   │   │   ├── uart_transceiver.(16).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── uart_transceiver.lpc.txt
│   │   │   │   │   │   ├── uart_transceiver.(10).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.(0).cnf.cdb
│   │   │   │   │   │   ├── alt_synch_pipe_46d.tdf
│   │   │   │   │   │   ├── dffpipe_1v8.tdf
│   │   │   │   │   │   ├── uart_transceiver.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── cmpr_f66.tdf
│   │   │   │   │   │   ├── uart_transceiver.map.qmsg
│   │   │   │   │   │   ├── uart_transceiver.(3).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.(6).cnf.cdb
│   │   │   │   │   │   ├── uart_transceiver.smart_action.txt
│   │   │   │   │   │   ├── a_graycounter_rn6.tdf
│   │   │   │   │   │   ├── uart_transceiver.(12).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.map_bb.cdb
│   │   │   │   │   │   ├── dffpipe_2v8.tdf
│   │   │   │   │   │   ├── uart_transceiver.pre_map.cdb
│   │   │   │   │   │   ├── uart_transceiver.(0).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.(17).cnf.hdb
│   │   │   │   │   │   ├── alt_synch_pipe_66d.tdf
│   │   │   │   │   │   ├── uart_transceiver.(8).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.(15).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.rtlv.hdb
│   │   │   │   │   │   ├── uart_transceiver.(11).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.(9).cnf.cdb
│   │   │   │   │   │   ├── uart_transceiver.map_bb.logdb
│   │   │   │   │   │   ├── uart_transceiver.hif
│   │   │   │   │   │   ├── uart_transceiver.(3).cnf.cdb
│   │   │   │   │   │   ├── dffpipe_3v8.tdf
│   │   │   │   │   │   ├── uart_transceiver.(14).cnf.cdb
│   │   │   │   │   │   ├── uart_transceiver.(10).cnf.cdb
│   │   │   │   │   │   ├── uart_transceiver.cbx.xml
│   │   │   │   │   │   ├── uart_transceiver.map.cdb
│   │   │   │   │   │   ├── uart_transceiver.(13).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.map.bpm
│   │   │   │   │   │   ├── uart_transceiver.(7).cnf.cdb
│   │   │   │   │   │   ├── a_gray2bin_ugb.tdf
│   │   │   │   │   │   ├── uart_transceiver.(8).cnf.cdb
│   │   │   │   │   │   ├── uart_transceiver.(20).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.tis_db_list.ddb
│   │   │   │   │   │   ├── uart_transceiver.(1).cnf.hdb
│   │   │   │   │   │   ├── altsyncram_tou.tdf
│   │   │   │   │   │   ├── uart_transceiver.(2).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.sld_design_entry.sci
│   │   │   │   │   │   ├── uart_transceiver.(5).cnf.cdb
│   │   │   │   │   │   ├── uart_transceiver.(11).cnf.cdb
│   │   │   │   │   │   ├── uart_transceiver.(24).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.sgdiff.cdb
│   │   │   │   │   │   ├── uart_transceiver.(2).cnf.cdb
│   │   │   │   │   │   ├── uart_transceiver.(4).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.(19).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.(20).cnf.cdb
│   │   │   │   │   │   ├── uart_transceiver.(16).cnf.cdb
│   │   │   │   │   │   ├── dffpipe_4v8.tdf
│   │   │   │   │   │   ├── uart_transceiver.(21).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.sgdiff.hdb
│   │   │   │   │   │   ├── uart_transceiver.(1).cnf.cdb
│   │   │   │   │   │   ├── uart_transceiver.(18).cnf.hdb
│   │   │   │   │   │   ├── dcfifo_fse1.tdf
│   │   │   │   │   │   ├── uart_transceiver.cmp.rdb
│   │   │   │   │   │   ├── uart_transceiver.(21).cnf.cdb
│   │   │   │   │   │   ├── a_graycounter_n5c.tdf
│   │   │   │   │   │   ├── uart_transceiver.(22).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.(17).cnf.cdb
│   │   │   │   │   │   ├── uart_transceiver.map.logdb
│   │   │   │   │   │   ├── uart_transceiver.lpc.html
│   │   │   │   │   │   ├── dffpipe_5v8.tdf
│   │   │   │   │   │   ├── uart_transceiver.rtlv_sg.cdb
│   │   │   │   │   │   ├── prev_cmp_uart_transceiver.qmsg
│   │   │   │   │   │   ├── uart_transceiver.map.hdb
│   │   │   │   │   │   ├── uart_transceiver.pre_map.hdb
│   │   │   │   │   │   ├── uart_transceiver.hier_info
│   │   │   │   │   │   ├── uart_transceiver.lpc.rdb
│   │   │   │   │   │   ├── uart_transceiver.(24).cnf.cdb
│   │   │   │   │   │   ├── uart_transceiver.map_bb.hdb
│   │   │   │   │   │   ├── uart_transceiver.(12).cnf.cdb
│   │   │   │   │   │   ├── uart_transceiver.(15).cnf.cdb
│   │   │   │   │   │   ├── uart_transceiver.(13).cnf.cdb
│   │   │   │   │   │   ├── uart_transceiver.(23).cnf.cdb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── uart_transceiver.(23).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.map.kpt
│   │   │   │   │   │   ├── uart_transceiver.(4).cnf.cdb
│   │   │   │   │   │   ├── uart_transceiver.(5).cnf.hdb
│   │   │   │   │   │   ├── alt_synch_pipe_56d.tdf
│   │   │   │   │   │   ├── uart_transceiver.db_info
│   │   │   │   │   │   ├── uart_transceiver.(7).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.(14).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.(9).cnf.hdb
│   │   │   │   │   │   ├── uart_transceiver.(18).cnf.cdb
│   │   │   │   │   │   ├── uart_transceiver.cmp_merge.kpt
│   │   │   │   │   ├── greybox_tmp
│   │   │   │   │   │   ├── cbx_args.txt
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── uart_transceiver.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── uart_transceiver.db_info
│   │   │   │   │   │   │   ├── uart_transceiver.root_partition.map.dpi
│   │   │   │   │   │   │   ├── uart_transceiver.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── uart_transceiver.root_partition.map.kpt
│   │   │   │   │   │   │   ├── uart_transceiver.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── uart_transceiver.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── uart_transceiver.root_partition.map.cdb
│   │   │   │   │   │   │   ├── uart_transceiver.root_partition.map.hdb
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── tran_lsm.sv
│   │   │   │   │   ├── rec_lsm.sv.bak
│   │   │   │   │   ├── uart_receiver.sv
│   │   │   │   │   ├── uart_transceiver.map.summary
│   │   │   │   │   ├── uart_transceiver.flow.rpt
│   │   │   │   │   ├── rec_buffer_bb.v
│   │   │   │   │   ├── uart_transceiver_nativelink_simulation.rpt
│   │   │   │   │   ├── uart_transceiver.done
│   │   │   │   │   ├── rec_buffer.v
│   │   │   │   │   ├── tran_buffer_bb.v
│   │   │   │   │   ├── tran_lsm.sv.bak
│   │   │   │   │   ├── rec_lsm.sv
│   │   │   │   │   ├── tran_buffer.v
│   │   │   │   │   ├── tran_buffer.qip
│   │   │   │   │   ├── uart_transceiver.sv.bak
│   │   │   │   │   ├── uart_transceiver.qsf
│   │   │   │   │   ├── rec_buffer.qip
│   │   │   │   │   ├── uart_transmitter.sv
│   │   │   │   │   ├── uart_transceiver_tb.sv
│   │   │   │   │   ├── uart_transceiver_tb.sv.bak
│   │   │   │   │   ├── uart_transceiver.sv
│   │   │   │   │   ├── uart_receiver.sv.bak
│   │   │   │   │   ├── uart_transmitter.sv.bak
│   │   │   │   │   ├── uart_transceiver.map.rpt
│   │   │   │   │   ├── uart_transceiver.qpf
│   │   │   │   │   ├── 异步串行收发器设计报告.docx
│   │   │   │   ├── divider
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── divider_lsm_tb
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── divider_lsm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── divider_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── divider.hif
│   │   │   │   │   │   ├── divider.tis_db_list.ddb
│   │   │   │   │   │   ├── divider.map.hdb
│   │   │   │   │   │   ├── divider.cbx.xml
│   │   │   │   │   │   ├── divider.lpc.html
│   │   │   │   │   │   ├── divider.(0).cnf.cdb
│   │   │   │   │   │   ├── prev_cmp_divider.qmsg
│   │   │   │   │   │   ├── divider.db_info
│   │   │   │   │   │   ├── divider.pre_map.cdb
│   │   │   │   │   │   ├── divider.cmp_merge.kpt
│   │   │   │   │   │   ├── divider.lpc.rdb
│   │   │   │   │   │   ├── divider.map.kpt
│   │   │   │   │   │   ├── divider.map_bb.logdb
│   │   │   │   │   │   ├── divider.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── divider.rtlv_sg.cdb
│   │   │   │   │   │   ├── divider.map_bb.hdb
│   │   │   │   │   │   ├── divider.hier_info
│   │   │   │   │   │   ├── divider.pre_map.hdb
│   │   │   │   │   │   ├── divider.smart_action.txt
│   │   │   │   │   │   ├── divider.map.qmsg
│   │   │   │   │   │   ├── divider.map.cdb
│   │   │   │   │   │   ├── divider.tmw_info
│   │   │   │   │   │   ├── divider.sgdiff.hdb
│   │   │   │   │   │   ├── divider.map.bpm
│   │   │   │   │   │   ├── divider.syn_hier_info
│   │   │   │   │   │   ├── divider.cmp.rdb
│   │   │   │   │   │   ├── divider.rtlv.hdb
│   │   │   │   │   │   ├── divider.map_bb.cdb
│   │   │   │   │   │   ├── divider.sld_design_entry.sci
│   │   │   │   │   │   ├── divider.map.logdb
│   │   │   │   │   │   ├── divider.sgdiff.cdb
│   │   │   │   │   │   ├── divider.(0).cnf.hdb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── divider.lpc.txt
│   │   │   │   │   │   ├── divider.sld_design_entry_dsc.sci
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── divider.root_partition.map.dpi
│   │   │   │   │   │   │   ├── divider.root_partition.map.hdb
│   │   │   │   │   │   │   ├── divider.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── divider.root_partition.map.kpt
│   │   │   │   │   │   │   ├── divider.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── divider.root_partition.map.cdb
│   │   │   │   │   │   │   ├── divider.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── divider.db_info
│   │   │   │   │   │   │   ├── divider.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── divider.qsf
│   │   │   │   │   ├── divider.qpf
│   │   │   │   │   ├── divider.done
│   │   │   │   │   ├── divider_nativelink_simulation.rpt
│   │   │   │   │   ├── divider.flow.rpt
│   │   │   │   │   ├── divider.map.rpt
│   │   │   │   │   ├── divider_lsm.sv
│   │   │   │   │   ├── divider.map.summary
│   │   │   │   │   ├── divider_lsm_tb.sv
│   │   │   │   ├── 习题.docx
│   │   ├── 0703
│   │   │   ├── 0703090455.avi
│   │   │   ├── VGA.pdf
│   │   ├── 0725
│   │   │   ├── 嵌入式基础.mp4
│   │   │   ├── 0725130721.avi
│   │   │   ├── 嵌入式系统基础.mp4
│   │   │   ├── 0725085434.avi
│   │   ├── 20201026
│   │   │   ├── 20201026
│   │   │   │   ├── 精简指令CPU造芯工程设计报告.docx
│   │   │   ├── 北京至芯2007届就业班课程 (1).mp4
│   │   │   ├── 2007.mp4
│   │   ├── 0721
│   │   │   ├── 资料_0721
│   │   │   │   ├── 腾讯课堂链接.txt
│   │   │   │   ├── 习题.docx
│   │   │   │   ├── 武汉内训QQ群.jpg
│   │   │   ├── 视频_0721
│   │   │   │   ├── 0721085848.avi
│   │   │   │   ├── 0721132644.avi
│   │   │   │   ├── 0721090249.avi
│   │   │   │   ├── 0721090300.avi
│   │   ├── 20201102
│   │   │   ├── 北京至芯2007就业班课程 _1.mp4
│   │   │   ├── 2007 _3.mp4
│   │   │   ├── 北京至芯2007就业班课程 _2.mp4
│   │   ├── 0619
│   │   │   ├── 0619100256.avi
│   │   │   ├── 0619090237.avi
│   │   │   ├── 619.zip
│   │   ├── 0626
│   │   │   ├── 0626112739.avi
│   │   │   ├── 0626090551.avi
│   │   │   ├── 0626101052.avi
│   │   │   ├── dds_v2.zip
│   │   ├── 0731
│   │   │   ├── 视频_0731
│   │   │   │   ├── 0731.mp4
│   │   │   │   ├── 0731 (1).mp4
│   │   │   ├── 20200731
│   │   │   │   ├── 730.rar
│   │   │   │   ├── 20200731.rar
│   │   │   │   ├── EDA课程 (2).docx
│   │   │   │   ├── 练习题 (3).docx
│   │   │   │   ├── 730 (1).rar
│   │   ├── 0804
│   │   │   ├── 20200804
│   │   │   │   ├── 练习题 (4).docx
│   │   │   │   ├── 武汉内训0804.pdf
│   │   │   │   ├── EDA课程.pdf
│   │   │   │   ├── 硬件描述语言基础课程测验题标准答案.docx
│   │   │   ├── 武汉内训课程:FSM:客观建模.mp4
│   │   ├── 0819
│   │   │   ├── 20200819
│   │   │   │   ├── 20200819.rar
│   │   │   │   ├── 武汉内训08019.pdf
│   │   │   │   ├── 练习题(1).docx
│   │   │   │   ├── 练习题(1)(1).docx
│   │   │   ├── 时序检查和时序约束.mp4
│   │   ├── 0714
│   │   │   ├── 资料_0714
│   │   │   │   ├── syn_fifo
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── syn_fifo.db_info
│   │   │   │   │   │   │   ├── syn_fifo.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── syn_fifo.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── syn_fifo.root_partition.map.hdb
│   │   │   │   │   │   │   ├── syn_fifo.root_partition.map.cdb
│   │   │   │   │   │   │   ├── syn_fifo.root_partition.map.dpi
│   │   │   │   │   │   │   ├── syn_fifo.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── syn_fifo.root_partition.map.kpt
│   │   │   │   │   │   │   ├── syn_fifo.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── dual_ram
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── fifo_handshak
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── syn_fifo
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── fifo_controller
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── usedw_counter
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── ptr_reg
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── syn_fifo_tb
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   ├── syn_fifo_run_msim_rtl_verilog.do.bak1
│   │   │   │   │   │   │   ├── syn_fifo_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── wave.do
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── syn_fifo_run_msim_rtl_verilog.do.bak
│   │   │   │   │   ├── greybox_tmp
│   │   │   │   │   │   ├── cbx_args.txt
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── scfifo_dm31.tdf
│   │   │   │   │   │   ├── syn_fifo.(9).cnf.cdb
│   │   │   │   │   │   ├── syn_fifo.(8).cnf.cdb
│   │   │   │   │   │   ├── syn_fifo.(5).cnf.hdb
│   │   │   │   │   │   ├── syn_fifo.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── syn_fifo.sld_design_entry.sci
│   │   │   │   │   │   ├── syn_fifo.sgdiff.hdb
│   │   │   │   │   │   ├── syn_fifo.smart_action.txt
│   │   │   │   │   │   ├── syn_fifo.(15).cnf.hdb
│   │   │   │   │   │   ├── syn_fifo.(2).cnf.cdb
│   │   │   │   │   │   ├── syn_fifo.cmp.rdb
│   │   │   │   │   │   ├── syn_fifo.(4).cnf.cdb
│   │   │   │   │   │   ├── syn_fifo.(16).cnf.hdb
│   │   │   │   │   │   ├── syn_fifo.map.cdb
│   │   │   │   │   │   ├── syn_fifo.(5).cnf.cdb
│   │   │   │   │   │   ├── syn_fifo.rtlv.hdb
│   │   │   │   │   │   ├── syn_fifo.(3).cnf.cdb
│   │   │   │   │   │   ├── syn_fifo.(13).cnf.cdb
│   │   │   │   │   │   ├── syn_fifo.(13).cnf.hdb
│   │   │   │   │   │   ├── syn_fifo.(17).cnf.cdb
│   │   │   │   │   │   ├── syn_fifo.tmw_info
│   │   │   │   │   │   ├── syn_fifo.(10).cnf.hdb
│   │   │   │   │   │   ├── syn_fifo.pre_map.hdb
│   │   │   │   │   │   ├── syn_fifo.(7).cnf.cdb
│   │   │   │   │   │   ├── syn_fifo.map.bpm
│   │   │   │   │   │   ├── syn_fifo.(15).cnf.cdb
│   │   │   │   │   │   ├── syn_fifo.map.qmsg
│   │   │   │   │   │   ├── syn_fifo.lpc.rdb
│   │   │   │   │   │   ├── syn_fifo.map.logdb
│   │   │   │   │   │   ├── syn_fifo.lpc.txt
│   │   │   │   │   │   ├── syn_fifo.db_info
│   │   │   │   │   │   ├── syn_fifo.(9).cnf.hdb
│   │   │   │   │   │   ├── syn_fifo.(8).cnf.hdb
│   │   │   │   │   │   ├── syn_fifo.cbx.xml
│   │   │   │   │   │   ├── syn_fifo.map_bb.cdb
│   │   │   │   │   │   ├── syn_fifo.(16).cnf.cdb
│   │   │   │   │   │   ├── syn_fifo.(0).cnf.hdb
│   │   │   │   │   │   ├── syn_fifo.(0).cnf.cdb
│   │   │   │   │   │   ├── altsyncram_q0k1.tdf
│   │   │   │   │   │   ├── syn_fifo.(10).cnf.cdb
│   │   │   │   │   │   ├── cntr_3ob.tdf
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── syn_fifo.(14).cnf.cdb
│   │   │   │   │   │   ├── syn_fifo.(11).cnf.hdb
│   │   │   │   │   │   ├── syn_fifo.sgdiff.cdb
│   │   │   │   │   │   ├── syn_fifo.(17).cnf.hdb
│   │   │   │   │   │   ├── syn_fifo.rtlv_sg.cdb
│   │   │   │   │   │   ├── syn_fifo.syn_hier_info
│   │   │   │   │   │   ├── syn_fifo.(7).cnf.hdb
│   │   │   │   │   │   ├── syn_fifo.tis_db_list.ddb
│   │   │   │   │   │   ├── syn_fifo.(1).cnf.cdb
│   │   │   │   │   │   ├── dpram_4711.tdf
│   │   │   │   │   │   ├── altsyncram_atg1.tdf
│   │   │   │   │   │   ├── syn_fifo.map.kpt
│   │   │   │   │   │   ├── syn_fifo.map_bb.hdb
│   │   │   │   │   │   ├── syn_fifo.(4).cnf.hdb
│   │   │   │   │   │   ├── syn_fifo.(6).cnf.cdb
│   │   │   │   │   │   ├── syn_fifo.(3).cnf.hdb
│   │   │   │   │   │   ├── syn_fifo.lpc.html
│   │   │   │   │   │   ├── syn_fifo.(12).cnf.cdb
│   │   │   │   │   │   ├── syn_fifo.(1).cnf.hdb
│   │   │   │   │   │   ├── cntr_fo7.tdf
│   │   │   │   │   │   ├── syn_fifo.(14).cnf.hdb
│   │   │   │   │   │   ├── syn_fifo.(6).cnf.hdb
│   │   │   │   │   │   ├── a_dpfifo_ks31.tdf
│   │   │   │   │   │   ├── syn_fifo.map_bb.logdb
│   │   │   │   │   │   ├── syn_fifo.map.hdb
│   │   │   │   │   │   ├── syn_fifo.hif
│   │   │   │   │   │   ├── syn_fifo.(12).cnf.hdb
│   │   │   │   │   │   ├── prev_cmp_syn_fifo.qmsg
│   │   │   │   │   │   ├── syn_fifo.cmp_merge.kpt
│   │   │   │   │   │   ├── syn_fifo.(11).cnf.cdb
│   │   │   │   │   │   ├── syn_fifo.hier_info
│   │   │   │   │   │   ├── a_fefifo_08f.tdf
│   │   │   │   │   │   ├── syn_fifo.(2).cnf.hdb
│   │   │   │   │   │   ├── syn_fifo.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── syn_fifo.pre_map.cdb
│   │   │   │   │   ├── ip_fifo_example_bb.v
│   │   │   │   │   ├── ip_fifo_example.qip
│   │   │   │   │   ├── usedw_counter.sv
│   │   │   │   │   ├── usedw_counter.sv.bak
│   │   │   │   │   ├── 同步FIFO设计报告.docx
│   │   │   │   │   ├── syn_fifo.map.summary
│   │   │   │   │   ├── syn_fifo_nativelink_simulation.rpt
│   │   │   │   │   ├── fifo_controller.sv.bak
│   │   │   │   │   ├── ptr_reg.sv.bak
│   │   │   │   │   ├── syn_fifo_tb.sv.bak
│   │   │   │   │   ├── ptr_reg.sv
│   │   │   │   │   ├── syn_fifo_tb.sv
│   │   │   │   │   ├── syn_fifo.map.smsg
│   │   │   │   │   ├── ip_fifo_example_tb.sv
│   │   │   │   │   ├── syn_fifo.done
│   │   │   │   │   ├── syn_fifo.flow.rpt
│   │   │   │   │   ├── dual_ram.sv.bak
│   │   │   │   │   ├── fifo_handshak.sv.bak
│   │   │   │   │   ├── syn_fifo.sv
│   │   │   │   │   ├── dual_ram.sv
│   │   │   │   │   ├── syn_fifo_ip.bdf
│   │   │   │   │   ├── ip_fifo_example.v
│   │   │   │   │   ├── ip_fifo_example.bsf
│   │   │   │   │   ├── syn_fifo.qsf
│   │   │   │   │   ├── syn_fifo.qpf
│   │   │   │   │   ├── fifo_controller.sv
│   │   │   │   │   ├── ip_fifo_example_tb.sv.bak
│   │   │   │   │   ├── syn_fifo.map.rpt
│   │   │   │   │   ├── fifo_handshak.sv
│   │   │   │   │   ├── syn_fifo.sv.bak
│   │   │   │   ├── syn_fifo.rar
│   │   │   │   ├── 习题.docx
│   │   │   ├── 视频_0714
│   │   │   │   ├── 0714132750.avi
│   │   │   │   ├── 0714120636.avi
│   │   │   │   ├── 0714090732.avi
│   │   │   │   ├── 0714120448.avi
│   │   ├── 0625
│   │   │   ├── 0625102216.avi
│   │   │   ├── 0625090926.avi
│   │   ├── 0805
│   │   │   ├── 20200805
│   │   │   │   ├── 0804-share.rar
│   │   │   │   ├── 练习题 (5).docx
│   │   │   │   ├── 武汉内训0805.pdf
│   │   │   │   ├── teacher_20200804.rar
│   │   │   │   ├── 20200805-teacher.rar
│   │   │   ├── 0805_video.zip
│   │   │   ├── 武汉内训课程:时间机和过程机2.mp4
│   │   │   ├── 武汉内训课程:时间机和过程机1.mp4
│   │   ├── 0629
│   │   │   ├── 0629090431.avi
│   │   │   ├── 0629111004.avi
│   │   │   ├── 0629115213.avi
│   │   │   ├── 0629100905.avi
│   │   │   ├── 629.zip
│   │   ├── 0617
│   │   │   ├── 0617.zip
│   │   │   ├── 0617090609.avi
│   │   │   ├── 0617103035.avi
│   │   ├── 0827
│   │   │   ├── 20200827
│   │   │   │   ├── 武汉内训08027.pdf
│   │   │   │   ├── 练习题(5).docx
│   │   │   │   ├── 20200827.rar
│   │   │   ├── 连续总线跨无关时钟域和双时钟FIFO (1).mp4
│   │   ├── 20201031
│   │   │   ├── 北京至芯2007就业班课程 (1).mp4
│   │   ├── 20200922
│   │   │   ├── 造芯工程:RISC_SPM.mp4
│   │   ├── 0727
│   │   │   ├── 20200727
│   │   │   │   ├── frequency_divider_mealy_fsm1s.v
│   │   │   │   ├── base3.rar
│   │   │   │   ├── 武汉企业培训修改稿II.pdf
│   │   │   │   ├── seven_segment_driver.rar
│   │   │   │   ├── YNote.exe
│   │   │   │   ├── basys3操作步骤.docx
│   │   │   │   ├── 练习题.docx
│   │   │   │   ├── seven_segment_head.v
│   │   │   ├── 视频_0727
│   │   │   │   ├── 0727.mp4
│   │   │   │   ├── 0727-1.mp4
│   │   │   │   ├── 0727-下.mp4
│   │   ├── 20200906
│   │   │   ├── 11.mp4
│   │   ├── 20200907
│   │   │   ├── 综合理论基础.mp4
│   │   │   ├── 综合理论基础 (1).mp4
│   │   ├── 20201013
│   │   │   ├── FPGA与SDRAM技术.mp4
│   │   ├── 0522
│   │   │   ├── 0522090411.avi
│   │   │   ├── auto_seller.zip
│   │   │   ├── 0522101853.avi
│   │   ├── 0603
│   │   │   ├── 0603.zip
│   │   │   ├── 0603101332.avi
│   │   │   ├── 0603090131.avi
│   │   ├── 20200908
│   │   │   ├── 条件语句的可综合性.mp4
│   │   ├── 0817
│   │   │   ├── 20200817
│   │   │   │   ├── 武汉内训08017.pdf
│   │   │   │   ├── syn_comm_wh20202.rar
│   │   │   ├── 连续同步信号分析.mp4
│   │   ├── 20200930
│   │   │   ├── 汉明码和循环冗余码.mp4
│   │   ├── 20200925
│   │   │   ├── 20200929
│   │   │   │   ├── FPGA与通信技术:汉明纠错码.mp4
│   │   │   ├── RiSC_WH2020.mp4
│   │   ├── 20200915
│   │   │   ├── IIC控制器.mp4
│   │   ├── 0722
│   │   │   ├── 视频_0722
│   │   │   │   ├── 武汉EDA内训:EDA历史和工具2.mp4
│   │   │   │   ├── 武汉内训课程:EDA历史和工具.mp4
│   │   │   ├── 20200722
│   │   │   │   ├── and_gate
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── and_gate.root_partition.map.dpi
│   │   │   │   │   │   │   ├── and_gate.root_partition.cmp.cdb
│   │   │   │   │   │   │   ├── and_gate.root_partition.cmp.logdb
│   │   │   │   │   │   │   ├── and_gate.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── and_gate.root_partition.map.hdb
│   │   │   │   │   │   │   ├── and_gate.root_partition.cmp.kpt
│   │   │   │   │   │   │   ├── and_gate.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── and_gate.root_partition.cmp.dfp
│   │   │   │   │   │   │   ├── and_gate.db_info
│   │   │   │   │   │   │   ├── and_gate.root_partition.cmp.hdb
│   │   │   │   │   │   │   ├── and_gate.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── and_gate.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── and_gate.root_partition.cmp.rcfdb
│   │   │   │   │   │   │   ├── and_gate.root_partition.map.kpt
│   │   │   │   │   │   │   ├── and_gate.root_partition.map.cdb
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── and_gate.lpc.html
│   │   │   │   │   │   ├── and_gate.cmp.kpt
│   │   │   │   │   │   ├── prev_cmp_and_gate.qmsg
│   │   │   │   │   │   ├── and_gate.rtlv.hdb
│   │   │   │   │   │   ├── and_gate.idb.cdb
│   │   │   │   │   │   ├── and_gate.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── and_gate.map.bpm
│   │   │   │   │   │   ├── and_gate.tmw_info
│   │   │   │   │   │   ├── and_gate.db_info
│   │   │   │   │   │   ├── and_gate.fit.qmsg
│   │   │   │   │   │   ├── and_gate.map.cdb
│   │   │   │   │   │   ├── and_gate.tiscmp.fastest_slow_1200mv_0c.ddb
│   │   │   │   │   │   ├── and_gate.map_bb.cdb
│   │   │   │   │   │   ├── and_gate.cycloneive_io_sim_cache.45um_ss_1200mv_85c_slow.hsd
│   │   │   │   │   │   ├── and_gate.rtlv_sg.cdb
│   │   │   │   │   │   ├── and_gate.hif
│   │   │   │   │   │   ├── and_gate.sgdiff.hdb
│   │   │   │   │   │   ├── and_gate.pre_map.hdb
│   │   │   │   │   │   ├── and_gate.cbx.xml
│   │   │   │   │   │   ├── and_gate.hier_info
│   │   │   │   │   │   ├── and_gate.map_bb.logdb
│   │   │   │   │   │   ├── and_gate.syn_hier_info
│   │   │   │   │   │   ├── and_gate.map.qmsg
│   │   │   │   │   │   ├── and_gate.cmp.cdb
│   │   │   │   │   │   ├── and_gate.smart_action.txt
│   │   │   │   │   │   ├── and_gate.tiscmp.fast_1200mv_0c.ddb
│   │   │   │   │   │   ├── and_gate.lpc.txt
│   │   │   │   │   │   ├── and_gate.lpc.rdb
│   │   │   │   │   │   ├── and_gate.cmp.bpm
│   │   │   │   │   │   ├── and_gate.cycloneive_io_sim_cache.45um_ss_1200mv_0c_slow.hsd
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── and_gate.sgdiff.cdb
│   │   │   │   │   │   ├── and_gate.pre_map.cdb
│   │   │   │   │   │   ├── and_gate.sta_cmp.8_slow_1200mv_85c.tdb
│   │   │   │   │   │   ├── and_gate.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
│   │   │   │   │   │   ├── and_gate.map.hdb
│   │   │   │   │   │   ├── and_gate.cmp.rdb
│   │   │   │   │   │   ├── and_gate.amm.cdb
│   │   │   │   │   │   ├── and_gate.(0).cnf.cdb
│   │   │   │   │   │   ├── and_gate.asm.rdb
│   │   │   │   │   │   ├── and_gate.eda.qmsg
│   │   │   │   │   │   ├── and_gate.(0).cnf.hdb
│   │   │   │   │   │   ├── and_gate.tis_db_list.ddb
│   │   │   │   │   │   ├── and_gate.sld_design_entry.sci
│   │   │   │   │   │   ├── and_gate.asm_labs.ddb
│   │   │   │   │   │   ├── and_gate.tiscmp.slow_1200mv_0c.ddb
│   │   │   │   │   │   ├── and_gate.tiscmp.fastest_slow_1200mv_85c.ddb
│   │   │   │   │   │   ├── and_gate.cmp_merge.kpt
│   │   │   │   │   │   ├── and_gate.map.logdb
│   │   │   │   │   │   ├── and_gate.asm.qmsg
│   │   │   │   │   │   ├── and_gate.sta.rdb
│   │   │   │   │   │   ├── and_gate.map_bb.hdb
│   │   │   │   │   │   ├── and_gate.sta.qmsg
│   │   │   │   │   │   ├── and_gate.cmp.logdb
│   │   │   │   │   │   ├── and_gate.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── and_gate.map.kpt
│   │   │   │   │   │   ├── and_gate.cmp.hdb
│   │   │   │   │   │   ├── and_gate.tiscmp.slow_1200mv_85c.ddb
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── gate_work
│   │   │   │   │   │   │   │   ├── and_gate_tb
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── and_gate
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── and_gate_tb
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── and_gate
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   ├── and_gate_min_1200mv_0c_fast.svo
│   │   │   │   │   │   │   ├── and_gate_modelsim.xrf
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── and_gate_8_1200mv_85c_slow.svo
│   │   │   │   │   │   │   ├── and_gate_8_1200mv_0c_v_slow.sdo
│   │   │   │   │   │   │   ├── and_gate_8_1200mv_85c_v_slow.sdo_typ.csd
│   │   │   │   │   │   │   ├── and_gate.sft
│   │   │   │   │   │   │   ├── and_gate.svo
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── and_gate_run_msim_gate_systemverilog.do
│   │   │   │   │   │   │   ├── and_gate_8_1200mv_0c_slow.svo
│   │   │   │   │   │   │   ├── and_gate_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── and_gate_v.sdo
│   │   │   │   │   │   │   ├── and_gate_8_1200mv_85c_v_slow.sdo
│   │   │   │   │   │   │   ├── and_gate_min_1200mv_0c_v_fast.sdo
│   │   │   │   │   ├── and_gate.sof
│   │   │   │   │   ├── and_gate.pin
│   │   │   │   │   ├── and_gate.fit.summary
│   │   │   │   │   ├── and_gate_nativelink_simulation.rpt
│   │   │   │   │   ├── and_gate.sv
│   │   │   │   │   ├── and_gate.map.summary
│   │   │   │   │   ├── and_gate.flow.rpt
│   │   │   │   │   ├── and_gate.sta.rpt
│   │   │   │   │   ├── and_gate.qsf
│   │   │   │   │   ├── and_gate.fit.rpt
│   │   │   │   │   ├── and_gate_tb.sv.bak
│   │   │   │   │   ├── and_gate_tb.sv
│   │   │   │   │   ├── and_gate.sta.summary
│   │   │   │   │   ├── and_gate.asm.rpt
│   │   │   │   │   ├── and_gate.sv.bak
│   │   │   │   │   ├── and_gate.eda.rpt
│   │   │   │   │   ├── and_gate.map.rpt
│   │   │   │   │   ├── and_gate.qpf
│   │   │   │   │   ├── and_gate.done
│   │   │   │   ├── 新建 Microsoft Office Word 文档.docx
│   │   │   │   ├── FPGA的发展与展望.pptx
│   │   │   │   ├── d3_2.pdf
│   │   │   │   ├── d3_4.ppt
│   │   │   │   ├── d3_1.pdf
│   │   │   │   ├── EDA课程.docx
│   │   │   │   ├── d3_2.ppt
│   │   │   │   ├── d3_3.ppt
│   │   │   │   ├── d3_3.pdf
│   │   │   │   ├── d3_1.ppt
│   │   │   │   ├── fpga和嵌入式.pptx
│   │   │   │   ├── 练习题.docx
│   │   │   │   ├── and_gate.rar
│   │   ├── 0712
│   │   │   ├── 资料_0712
│   │   │   │   ├── bus_gen
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── bus_gen.sld_design_entry.sci
│   │   │   │   │   │   ├── bus_gen.(1).cnf.hdb
│   │   │   │   │   │   ├── bus_gen.map.cdb
│   │   │   │   │   │   ├── bus_gen.(0).cnf.hdb
│   │   │   │   │   │   ├── bus_gen.hier_info
│   │   │   │   │   │   ├── bus_gen.(0).cnf.cdb
│   │   │   │   │   │   ├── bus_gen.syn_hier_info
│   │   │   │   │   │   ├── bus_gen.cmp_merge.kpt
│   │   │   │   │   │   ├── bus_gen.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── bus_gen.lpc.html
│   │   │   │   │   │   ├── bus_gen.(3).cnf.hdb
│   │   │   │   │   │   ├── bus_gen.(2).cnf.cdb
│   │   │   │   │   │   ├── bus_gen.lpc.rdb
│   │   │   │   │   │   ├── bus_gen.pre_map.cdb
│   │   │   │   │   │   ├── bus_gen.lpc.txt
│   │   │   │   │   │   ├── bus_gen.tis_db_list.ddb
│   │   │   │   │   │   ├── bus_gen.cmp.rdb
│   │   │   │   │   │   ├── bus_gen.hif
│   │   │   │   │   │   ├── bus_gen.rtlv_sg.cdb
│   │   │   │   │   │   ├── bus_gen.map_bb.hdb
│   │   │   │   │   │   ├── bus_gen.(2).cnf.hdb
│   │   │   │   │   │   ├── bus_gen.tmw_info
│   │   │   │   │   │   ├── bus_gen.map.bpm
│   │   │   │   │   │   ├── bus_gen.map.kpt
│   │   │   │   │   │   ├── prev_cmp_bus_gen.qmsg
│   │   │   │   │   │   ├── bus_gen.rtlv.hdb
│   │   │   │   │   │   ├── bus_gen.map.qmsg
│   │   │   │   │   │   ├── bus_gen.sgdiff.cdb
│   │   │   │   │   │   ├── bus_gen.pre_map.hdb
│   │   │   │   │   │   ├── bus_gen.map_bb.cdb
│   │   │   │   │   │   ├── bus_gen.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── bus_gen.map.logdb
│   │   │   │   │   │   ├── bus_gen.cbx.xml
│   │   │   │   │   │   ├── bus_gen.map_bb.logdb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── bus_gen.map.hdb
│   │   │   │   │   │   ├── bus_gen.db_info
│   │   │   │   │   │   ├── bus_gen.(1).cnf.cdb
│   │   │   │   │   │   ├── bus_gen.sgdiff.hdb
│   │   │   │   │   │   ├── bus_gen.smart_action.txt
│   │   │   │   │   │   ├── bus_gen.(3).cnf.cdb
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── port_reg
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── bus_gen_tb
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── bus_gen
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── datapath
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── controller
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── bus_gen_run_msim_rtl_verilog.do
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── bus_gen.root_partition.map.kpt
│   │   │   │   │   │   │   ├── bus_gen.root_partition.map.cdb
│   │   │   │   │   │   │   ├── bus_gen.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── bus_gen.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── bus_gen.root_partition.map.dpi
│   │   │   │   │   │   │   ├── bus_gen.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── bus_gen.db_info
│   │   │   │   │   │   │   ├── bus_gen.root_partition.map.hdb
│   │   │   │   │   │   │   ├── bus_gen.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── bus_gen.map.smsg
│   │   │   │   │   ├── port_reg.sv
│   │   │   │   │   ├── controller.sv
│   │   │   │   │   ├── bus_gen.sv.bak
│   │   │   │   │   ├── datapath.sv
│   │   │   │   │   ├── bus_gen_tb.sv.bak
│   │   │   │   │   ├── bus_gen.qsf
│   │   │   │   │   ├── bus_gen.done
│   │   │   │   │   ├── controller.sv.bak
│   │   │   │   │   ├── bus_gen.sv
│   │   │   │   │   ├── bus_gen.flow.rpt
│   │   │   │   │   ├── bus_gen.map.rpt
│   │   │   │   │   ├── bus_gen.map.summary
│   │   │   │   │   ├── bus_gen_tb.sv
│   │   │   │   │   ├── port_reg.sv.bak
│   │   │   │   │   ├── datapath.sv.bak
│   │   │   │   │   ├── bus_gen.qpf
│   │   │   │   │   ├── bus_gen_nativelink_simulation.rpt
│   │   │   │   ├── bus_gen.rar
│   │   │   │   ├── 习题.docx
│   │   │   │   ├── hdl设计与实践v17.pdf
│   │   │   ├── 视频_0712
│   │   │   │   ├── 0712105841.avi
│   │   │   │   ├── 0712143744.avi
│   │   │   │   ├── 0712105259.avi
│   │   │   │   ├── 0712105339.avi
│   │   │   │   ├── 0712105247.avi
│   │   │   │   ├── 0712084647.avi
│   │   │   │   ├── 0712105824.avi
│   │   │   │   ├── 0712131708.avi
│   │   │   │   ├── 0712105127.avi
│   │   │   │   ├── 0712164906.avi
│   │   │   │   ├── 0712150025.avi
│   │   │   │   ├── 0712104803.avi
│   │   ├── 0801
│   │   │   ├── 嵌入式基础 (1).mp4
│   │   │   ├── 嵌入式基础 (2).mp4
│   │   ├── 0614
│   │   │   ├── 0614090033.avi
│   │   │   ├── 0614103622.avi
│   │   │   ├── 0614.zip
│   │   ├── 20201014
│   │   │   ├── FPGA与数字图像技术.mp4
│   │   ├── 0515
│   │   │   ├── register
│   │   │   │   ├── doc
│   │   │   │   │   ├── hjthsf.docx
│   │   │   │   ├── sim
│   │   │   │   │   ├── register_tb.v.bak
│   │   │   │   │   ├── register_tb.v
│   │   │   │   ├── src
│   │   │   │   │   ├── register.v.bak
│   │   │   │   │   ├── register.v
│   │   │   │   ├── prj
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── register.sgdiff.hdb
│   │   │   │   │   │   ├── register.map_bb.hdb
│   │   │   │   │   │   ├── register.sgate_sm.rvd
│   │   │   │   │   │   ├── register.rpp.qmsg
│   │   │   │   │   │   ├── register.map.hdb
│   │   │   │   │   │   ├── register.map.qmsg
│   │   │   │   │   │   ├── register.sld_design_entry.sci
│   │   │   │   │   │   ├── register.lpc.rdb
│   │   │   │   │   │   ├── register.(0).cnf.hdb
│   │   │   │   │   │   ├── register.cmp.rdb
│   │   │   │   │   │   ├── register.map.kpt
│   │   │   │   │   │   ├── register.sgdiff.cdb
│   │   │   │   │   │   ├── register.map.bpm
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── register.syn_hier_info
│   │   │   │   │   │   ├── register.root_partition.map.reg_db.cdb
│   │   │   │   │   │   ├── register.cmp_merge.kpt
│   │   │   │   │   │   ├── register.db_info
│   │   │   │   │   │   ├── register.cbx.xml
│   │   │   │   │   │   ├── register.map_bb.logdb
│   │   │   │   │   │   ├── register.tmw_info
│   │   │   │   │   │   ├── prev_cmp_register.qmsg
│   │   │   │   │   │   ├── register.pre_map.hdb
│   │   │   │   │   │   ├── register.hif
│   │   │   │   │   │   ├── register.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── register.map_bb.cdb
│   │   │   │   │   │   ├── register.hier_info
│   │   │   │   │   │   ├── register.map.rdb
│   │   │   │   │   │   ├── register.pti_db_list.ddb
│   │   │   │   │   │   ├── register.rtlv_sg.cdb
│   │   │   │   │   │   ├── register.smart_action.txt
│   │   │   │   │   │   ├── register.map.cdb
│   │   │   │   │   │   ├── register.map.logdb
│   │   │   │   │   │   ├── register.lpc.html
│   │   │   │   │   │   ├── register.sgate.rvd
│   │   │   │   │   │   ├── register.ipinfo
│   │   │   │   │   │   ├── register.lpc.txt
│   │   │   │   │   │   ├── register.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── register.map.ammdb
│   │   │   │   │   │   ├── register.rtlv.hdb
│   │   │   │   │   │   ├── register.tis_db_list.ddb
│   │   │   │   │   │   ├── register.(0).cnf.cdb
│   │   │   │   │   ├── output_files
│   │   │   │   │   │   ├── register.done
│   │   │   │   │   │   ├── register.map.summary
│   │   │   │   │   │   ├── register.map.rpt
│   │   │   │   │   │   ├── register.flow.rpt
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── register.root_partition.map.kpt
│   │   │   │   │   │   │   ├── register.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── register.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── register.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── register.db_info
│   │   │   │   │   │   │   ├── register.root_partition.map.cdb
│   │   │   │   │   │   │   ├── register.root_partition.map.hdb
│   │   │   │   │   │   │   ├── register.root_partition.map.dpi
│   │   │   │   │   │   │   ├── register.root_partition.map.hbdb.cdb
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── register_tb
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── register
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   ├── register_run_msim_rtl_verilog.do.bak
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── register_run_msim_rtl_verilog.do.bak2
│   │   │   │   │   │   │   ├── register_run_msim_rtl_verilog.do.bak1
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── register_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   ├── register.qpf
│   │   │   │   │   ├── register.qsf
│   │   │   │   │   ├── register_nativelink_simulation.rpt
│   │   │   │   │   ├── register.qws
│   │   │   ├── 0515104011.avi
│   │   │   ├── 0515090617.avi
│   │   ├── 20201019
│   │   │   ├── 20201019
│   │   │   │   ├── sta_example
│   │   │   │   │   ├── output_files
│   │   │   │   │   │   ├── sta_example.sta.rpt
│   │   │   │   │   │   ├── sta_example.eda.rpt
│   │   │   │   │   │   ├── sta_example.asm.rpt
│   │   │   │   │   │   ├── sta_example.flow.rpt
│   │   │   │   │   │   ├── sta_example.fit.smsg
│   │   │   │   │   │   ├── sta_example.sta.summary
│   │   │   │   │   │   ├── sta_example.pin
│   │   │   │   │   │   ├── sta_example.map.summary
│   │   │   │   │   │   ├── sta_example.jdi
│   │   │   │   │   │   ├── sta_example.map.rpt
│   │   │   │   │   │   ├── sta_example.fit.rpt
│   │   │   │   │   │   ├── sta_example.fit.summary
│   │   │   │   │   │   ├── sta_example.sof
│   │   │   │   │   │   ├── sta_example.done
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── sta_example.map_bb.cdb
│   │   │   │   │   │   ├── sta_example.map.bpm
│   │   │   │   │   │   ├── sta_example.cmp_merge.kpt
│   │   │   │   │   │   ├── add_sub_qrj.tdf
│   │   │   │   │   │   ├── sta_example.(5).cnf.cdb
│   │   │   │   │   │   ├── sta_example.(5).cnf.hdb
│   │   │   │   │   │   ├── sta_example.(7).cnf.hdb
│   │   │   │   │   │   ├── sta_example.(18).cnf.hdb
│   │   │   │   │   │   ├── sta_example.db_info
│   │   │   │   │   │   ├── sta_example.cmp.rdb
│   │   │   │   │   │   ├── sta_example.tiscmp.fast_1200mv_0c.ddb
│   │   │   │   │   │   ├── sta_example.lpc.rdb
│   │   │   │   │   │   ├── sta_example.(12).cnf.cdb
│   │   │   │   │   │   ├── sta_example.tiscmp.slow_1200mv_85c.ddb
│   │   │   │   │   │   ├── sta_example.smart_action.txt
│   │   │   │   │   │   ├── sta_example.(6).cnf.hdb
│   │   │   │   │   │   ├── lpm_constant_tf6.tdf
│   │   │   │   │   │   ├── sta_example.(15).cnf.cdb
│   │   │   │   │   │   ├── sta_example.cbx.xml
│   │   │   │   │   │   ├── sta_example.(2).cnf.hdb
│   │   │   │   │   │   ├── sta_example.(9).cnf.cdb
│   │   │   │   │   │   ├── sta_example.sta.qmsg
│   │   │   │   │   │   ├── lpm_constant_vl6.tdf
│   │   │   │   │   │   ├── sta_example.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── sta_example.pre_map.hdb
│   │   │   │   │   │   ├── sta_example.sgdiff.hdb
│   │   │   │   │   │   ├── sta_example.cmp.bpm
│   │   │   │   │   │   ├── sta_example.sld_design_entry.sci
│   │   │   │   │   │   ├── sta_example.sta_cmp.8_slow_1200mv_85c.tdb
│   │   │   │   │   │   ├── sta_example.rtlv_sg.cdb
│   │   │   │   │   │   ├── add_sub_dqh.tdf
│   │   │   │   │   │   ├── sta_example.cmp.cdb
│   │   │   │   │   │   ├── sta_example.(2).cnf.cdb
│   │   │   │   │   │   ├── add_sub_lgh.tdf
│   │   │   │   │   │   ├── prev_cmp_sta_example.qmsg
│   │   │   │   │   │   ├── sta_example.map.hdb
│   │   │   │   │   │   ├── sta_example.(0).cnf.hdb
│   │   │   │   │   │   ├── sta_example.(1).cnf.hdb
│   │   │   │   │   │   ├── sta_example.(14).cnf.hdb
│   │   │   │   │   │   ├── sta_example.map_bb.hdb
│   │   │   │   │   │   ├── sta_example.(10).cnf.hdb
│   │   │   │   │   │   ├── sta_example.cycloneive_io_sim_cache.45um_ss_1200mv_0c_slow.hsd
│   │   │   │   │   │   ├── sta_example.tiscmp.fastest_slow_1200mv_0c.ddb
│   │   │   │   │   │   ├── lpm_constant_ok6.tdf
│   │   │   │   │   │   ├── sta_example.map_bb.logdb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── sta_example.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
│   │   │   │   │   │   ├── sta_example.cmp.hdb
│   │   │   │   │   │   ├── sta_example.(3).cnf.hdb
│   │   │   │   │   │   ├── sta_example.cmp.logdb
│   │   │   │   │   │   ├── sta_example.sta.rdb
│   │   │   │   │   │   ├── sta_example.(4).cnf.hdb
│   │   │   │   │   │   ├── sta_example.(8).cnf.hdb
│   │   │   │   │   │   ├── add_sub_5oj.tdf
│   │   │   │   │   │   ├── sta_example.idb.cdb
│   │   │   │   │   │   ├── sta_example.map.kpt
│   │   │   │   │   │   ├── sta_example.asm.rdb
│   │   │   │   │   │   ├── cmpr_lel.tdf
│   │   │   │   │   │   ├── sta_example.rtlv.hdb
│   │   │   │   │   │   ├── cmpr_doi.tdf
│   │   │   │   │   │   ├── altpll0_altpll.v
│   │   │   │   │   │   ├── sta_example.(4).cnf.cdb
│   │   │   │   │   │   ├── sta_example.tmw_info
│   │   │   │   │   │   ├── sta_example.(13).cnf.cdb
│   │   │   │   │   │   ├── cmpr_lqg.tdf
│   │   │   │   │   │   ├── sta_example.(17).cnf.hdb
│   │   │   │   │   │   ├── sta_example.(11).cnf.cdb
│   │   │   │   │   │   ├── sta_example.map.cdb
│   │   │   │   │   │   ├── sta_example.(7).cnf.cdb
│   │   │   │   │   │   ├── sta_example.tiscmp.fastest_slow_1200mv_85c.ddb
│   │   │   │   │   │   ├── mult_kap.tdf
│   │   │   │   │   │   ├── sta_example.lpc.txt
│   │   │   │   │   │   ├── sta_example.asm_labs.ddb
│   │   │   │   │   │   ├── sta_example.(15).cnf.hdb
│   │   │   │   │   │   ├── sta_example.(6).cnf.cdb
│   │   │   │   │   │   ├── sta_example.map.qmsg
│   │   │   │   │   │   ├── sta_example.(13).cnf.hdb
│   │   │   │   │   │   ├── mult_scn.tdf
│   │   │   │   │   │   ├── sta_example.asm.qmsg
│   │   │   │   │   │   ├── sta_example.(17).cnf.cdb
│   │   │   │   │   │   ├── sta_example.(9).cnf.hdb
│   │   │   │   │   │   ├── sta_example.lpc.html
│   │   │   │   │   │   ├── sta_example.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── sta_example.(14).cnf.cdb
│   │   │   │   │   │   ├── sta_example.syn_hier_info
│   │   │   │   │   │   ├── sta_example.cycloneive_io_sim_cache.45um_ss_1200mv_85c_slow.hsd
│   │   │   │   │   │   ├── sta_example.cmp.kpt
│   │   │   │   │   │   ├── sta_example.hif
│   │   │   │   │   │   ├── sta_example.pre_map.cdb
│   │   │   │   │   │   ├── sta_example.(1).cnf.cdb
│   │   │   │   │   │   ├── sta_example.(8).cnf.cdb
│   │   │   │   │   │   ├── sta_example.tiscmp.slow_1200mv_0c.ddb
│   │   │   │   │   │   ├── sta_example.amm.cdb
│   │   │   │   │   │   ├── sta_example.(18).cnf.cdb
│   │   │   │   │   │   ├── sta_example.(11).cnf.hdb
│   │   │   │   │   │   ├── sta_example.(16).cnf.cdb
│   │   │   │   │   │   ├── sta_example.tis_db_list.ddb
│   │   │   │   │   │   ├── sta_example.(10).cnf.cdb
│   │   │   │   │   │   ├── sta_example.(16).cnf.hdb
│   │   │   │   │   │   ├── sta_example.eda.qmsg
│   │   │   │   │   │   ├── sta_example.fit.qmsg
│   │   │   │   │   │   ├── sta_example.sgdiff.cdb
│   │   │   │   │   │   ├── sta_example.hier_info
│   │   │   │   │   │   ├── sta_example.(3).cnf.cdb
│   │   │   │   │   │   ├── sta_example.(12).cnf.hdb
│   │   │   │   │   │   ├── sta_example.(0).cnf.cdb
│   │   │   │   │   │   ├── sta_example.map.logdb
│   │   │   │   │   ├── greybox_tmp
│   │   │   │   │   │   ├── cbx_args.txt
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── sta_example.root_partition.cmp.rcfdb
│   │   │   │   │   │   │   ├── sta_example.root_partition.map.hdb
│   │   │   │   │   │   │   ├── sta_example.root_partition.map.kpt
│   │   │   │   │   │   │   ├── sta_example.root_partition.cmp.dfp
│   │   │   │   │   │   │   ├── sta_example.root_partition.cmp.hdb
│   │   │   │   │   │   │   ├── sta_example.root_partition.cmp.cdb
│   │   │   │   │   │   │   ├── sta_example.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── sta_example.root_partition.cmp.kpt
│   │   │   │   │   │   │   ├── sta_example.root_partition.map.cdb
│   │   │   │   │   │   │   ├── sta_example.root_partition.cmp.logdb
│   │   │   │   │   │   │   ├── sta_example.root_partition.map.dpi
│   │   │   │   │   │   │   ├── sta_example.db_info
│   │   │   │   │   │   │   ├── sta_example.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── sta_example.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── sta_example.root_partition.map.hbdb.sig
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── gate_work
│   │   │   │   │   │   │   │   ├── top
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── top_tb
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── lpm_constantk
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── top
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── lpm_add_sub0
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── lpm_compare0
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── lpm_constantc
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── top_tb
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── lpm_mult0
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── lpm_constantb
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── sta_example.svo
│   │   │   │   │   │   │   ├── sta_example_8_1200mv_85c_v_slow.sdo
│   │   │   │   │   │   │   ├── sta_example_8_1200mv_0c_slow.svo
│   │   │   │   │   │   │   ├── sta_example_8_1200mv_0c_v_slow.sdo
│   │   │   │   │   │   │   ├── sta_example_v.sdo
│   │   │   │   │   │   │   ├── sta_example.sft
│   │   │   │   │   │   │   ├── sta_example_8_1200mv_85c_slow.svo
│   │   │   │   │   │   │   ├── sta_example_modelsim.xrf
│   │   │   │   │   │   │   ├── sta_example_run_msim_rtl_verilog.do.bak
│   │   │   │   │   │   │   ├── sta_example_min_1200mv_0c_v_fast.sdo
│   │   │   │   │   │   │   ├── sta_example_run_msim_gate_systemverilog.do
│   │   │   │   │   │   │   ├── sta_example_min_1200mv_0c_fast.svo
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── sta_example_run_msim_rtl_verilog.do
│   │   │   │   │   ├── sta_example_nativelink_simulation.rpt
│   │   │   │   │   ├── lpm_mult0.bsf
│   │   │   │   │   ├── lpm_constantb.qip
│   │   │   │   │   ├── lpm_compare0.qip
│   │   │   │   │   ├── reg8.bsf
│   │   │   │   │   ├── lpm_add_sub0.bsf
│   │   │   │   │   ├── altpll0.ppf
│   │   │   │   │   ├── lpm_constantk.qip
│   │   │   │   │   ├── lpm_constantb.v
│   │   │   │   │   ├── top.bdf
│   │   │   │   │   ├── lpm_constantc.bsf
│   │   │   │   │   ├── top_tb.sv
│   │   │   │   │   ├── lpm_constantc.v
│   │   │   │   │   ├── lpm_constantk.bsf
│   │   │   │   │   ├── lpm_compare0.bsf
│   │   │   │   │   ├── altpll0.qip
│   │   │   │   │   ├── altpll0.v
│   │   │   │   │   ├── sta_example.qpf
│   │   │   │   │   ├── lpm_add_sub0_bb.v
│   │   │   │   │   ├── top_tb.sv.bak
│   │   │   │   │   ├── lpm_mult0.qip
│   │   │   │   │   ├── lpm_constantk.v
│   │   │   │   │   ├── reg1.sv.bak
│   │   │   │   │   ├── altpll0_bb.v
│   │   │   │   │   ├── lpm_add_sub0.qip
│   │   │   │   │   ├── lpm_constantc_bb.v
│   │   │   │   │   ├── top.v
│   │   │   │   │   ├── altpll0.bsf
│   │   │   │   │   ├── lpm_mult0_bb.v
│   │   │   │   │   ├── sta_example.qws
│   │   │   │   │   ├── reg8.sv
│   │   │   │   │   ├── PLLJ_PLLSPE_INFO.txt
│   │   │   │   │   ├── lpm_mult0.v
│   │   │   │   │   ├── sta_example.sdc
│   │   │   │   │   ├── lpm_add_sub0.v
│   │   │   │   │   ├── lpm_constantb.bsf
│   │   │   │   │   ├── reg1.sv
│   │   │   │   │   ├── lpm_constantc.qip
│   │   │   │   │   ├── reg8.sv.bak
│   │   │   │   │   ├── sta_example.qsf
│   │   │   │   │   ├── lpm_constantk_bb.v
│   │   │   │   │   ├── lpm_constantb_bb.v
│   │   │   │   │   ├── lpm_compare0.v
│   │   │   │   │   ├── reg1.bsf
│   │   │   │   │   ├── lpm_compare0_bb.v
│   │   │   │   ├── 练习题.docx
│   │   │   │   ├── sta_example.rar
│   │   │   ├── 至芯就业班课程.mp4
│   │   ├── 0730
│   │   │   ├── 20200730
│   │   │   │   ├── EDA课程 (1).docx
│   │   │   │   ├── 20200730.rar
│   │   │   │   ├── 练习题 (2).docx
│   │   │   │   ├── 练习题 (1).docx
│   │   │   ├── 视频_0730
│   │   │   │   ├── 0730HDL的循环语句 (1).mp4
│   │   │   │   ├── 0730HDL的循环语句.mp4
│   │   ├── 0611
│   │   │   ├── 0611144627.avi
│   │   ├── 0821
│   │   │   ├── 20200821
│   │   │   │   ├── 练习题(3).docx
│   │   │   │   ├── 复习补充.docx
│   │   │   │   ├── pipeline_adder16_wh2020.rar
│   │   │   │   ├── 0820练习题(改).docx
│   │   │   ├── 0821_流水线Pipeline.mp4
│   │   ├── 20200921
│   │   │   ├── 造芯工程:RISC_SPM.mp4
│   │   ├── 0607
│   │   │   ├── digital_clock_v2.zip
│   │   │   ├── 0607090711.avi
│   │   ├── 0520
│   │   │   ├── 0520.zip
│   │   │   ├── 0520102146.avi
│   │   │   ├── 0520090747.avi
│   │   ├── 0706
│   │   │   ├── 0706090609.avi
│   │   │   ├── 0706105441.avi
│   │   │   ├── uart_drive.zip
│   │   ├── 0826
│   │   │   ├── 20200826
│   │   │   │   ├── 练习题(4).docx
│   │   │   │   ├── 理解亚稳定性.pdf
│   │   │   │   ├── 练习题825.docx
│   │   │   │   ├── 武汉内训08026.pdf
│   │   │   │   ├── example5_13.rar
│   │   │   │   ├── wp-01082-quartus-ii-metastability.pdf
│   │   │   ├── 无关时钟域和亚稳定性.mp4
│   │   ├── 0814
│   │   │   ├── 20200814
│   │   │   │   ├── 20200814.docx
│   │   │   │   ├── 同步电路TP图.docx
│   │   │   │   ├── 练习题.docx
│   │   │   │   ├── 练习题(改).docx
│   │   │   ├── 离散信号分析课程总结.mp4
│   │   ├── 0802
│   │   │   ├── 嵌入式基础 2.mp4
│   │   │   ├── 0802嵌入式基础1.mp4
│   │   ├── 0716
│   │   │   ├── 视频_0716
│   │   │   │   ├── 0716101659.avi
│   │   │   │   ├── 0716132823.avi
│   │   │   │   ├── 0716171553.avi
│   │   │   │   ├── 0716090305.avi
│   │   │   ├── 20200716
│   │   │   │   ├── lpm_pulser_gen
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── lpm_pulser_gen.root_partition.map.hdb
│   │   │   │   │   │   │   ├── lpm_pulser_gen.root_partition.map.dpi
│   │   │   │   │   │   │   ├── lpm_pulser_gen.root_partition.map.kpt
│   │   │   │   │   │   │   ├── lpm_pulser_gen.db_info
│   │   │   │   │   │   │   ├── lpm_pulser_gen.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── lpm_pulser_gen.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── lpm_pulser_gen.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── lpm_pulser_gen.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── lpm_pulser_gen.root_partition.map.cdb
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── fft-library
│   │   │   │   │   │   ├── apn_fftfp_del_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_lib_pkg_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_sub_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_top_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_dft_bfp_sgl_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_data_ram_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_alt_shift_tdl_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_wrswgen_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_avalon_streaming_sink_model_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_rvs_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_unorm_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_avalon_streaming_source_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_r22sdf_stg_out_pipe_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_si_se_so_b_fft_110.ocp
│   │   │   │   │   │   ├── auk_dspip_r22sdf_cma_adder_fp_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_r22sdf_stage_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_cmult_std_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_add_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_fpcompiler_castxtof_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_r22sdf_addsub_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_in_write_sgl_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_mult_add_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_si_se_so_b_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_bit_reverse_addr_control_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_bfp_i_1pt_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_tdl_bit_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_cxb_addr_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_si_qe_so_bb_fft_110.ocp
│   │   │   │   │   │   ├── auk_dspip_bit_reverse_core_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_dft4_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_twiddle_ctrl_qe_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_lcm_mult_2m_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_twadsogen_q_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_r22sdf_twrom_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_fpcompiler_castftox_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_dpi_mram_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_bit_reverse_reverse_carry_adder_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_unbburst_sose_ctrl_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_avalon_streaming_block_sink_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_laststage_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_avalon_streaming_controller_pe_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_snorm_mul_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_twadgen_dual_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_avalon_streaming_source_model_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_bit_reverse_top_fft_110.ocp
│   │   │   │   │   │   ├── apn_fft_cmult_cpx_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_twiddle_opt_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_cxb_data_mram_fft_110.vhd
│   │   │   │   │   │   ├── fft_pack_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_r22sdf_cma_bfi_fp_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_cnt_ctrl_de_fft_110.vhd
│   │   │   │   │   │   ├── apn_hcc_usgnpos_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_avalon_streaming_source_from_monitor_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_r22sdf_lib_pkg_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_bfp_i_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_si_de_so_bb_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_si_sose_so_b_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_avalon_streaming_block_source_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_bfp_o_1pt_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_mul_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_dft_bfp_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_1tdp_rom_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_r22sdf_stg_pipe_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_si_de_so_b_fft_110.ocp
│   │   │   │   │   │   ├── asj_fft_m_k_counter_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_tdl_bit_rst_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_unbburst_ctrl_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_1dp_ram_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_avalon_streaming_sink_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_core_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_text_pkg_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_lsft32_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_lpprdadgen_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_r22sdf_top_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_r22sdf_cma_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_fpcompiler_asrf_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_cxb_data_r_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_si_sose_so_b_fft_110.ocp
│   │   │   │   │   │   ├── auk_dspip_fpcompiler_mulfp_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_si_de_so_b_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_unbburst_ctrl_qe_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_cmult_can_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_twiddle_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_si_qe_so_b_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_r22sdf_bfi_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_si_qe_so_b_fft_110.ocp
│   │   │   │   │   │   ├── apn_hcc_sgnpstn_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_bfp_ctrl_fft_110.vhd
│   │   │   │   │   │   ├── apn_fft_mult_cpx_1825_fft_110.v
│   │   │   │   │   │   ├── asj_fft_wrengen_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_ram_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_dataadgen_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_r22sdf_top_fft_110.ocp
│   │   │   │   │   │   ├── auk_dspip_avalon_streaming_monitor_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_lpp_serial_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_burst_ctrl_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_si_de_so_bb_fft_110.ocp
│   │   │   │   │   │   ├── asj_fft_4dp_ram_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_data_ram_dp_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_fpcompiler_clzf_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_stage_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_twid_rom_tdp_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_r22sdf_core_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_dualstream_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_mul_2727_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_fpcompiler_alufp_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_burst_ctrl_qe_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_burst_ctrl_de_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_rvsctl_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_lpp_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_avalon_streaming_controller_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_sglstream_fft_110.ocp
│   │   │   │   │   │   ├── asj_fft_twadsogen_fft_110.vhd
│   │   │   │   │   │   ├── apn_hcc_cntusgn32_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_bfp_o_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_fft4_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_3pi_mram_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_lcm_mult_fft_110.vhd
│   │   │   │   │   │   ├── twid_rom_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_tdl_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_lpprdadr2gen_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_top_fft_110.ocp
│   │   │   │   │   │   ├── asj_fft_si_se_so_bb_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_cxb_data_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_dualstream_fft_110.ocp
│   │   │   │   │   │   ├── apn_fftfp_rsft32_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_r22sdf_adder_fp_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_r22sdf_counter_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_pround_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_si_se_so_bb_fft_110.ocp
│   │   │   │   │   │   ├── asj_fft_lpp_serial_r2_fft_110.vhd
│   │   │   │   │   │   ├── apn_fft_mult_cpx_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_dp_mram_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_r22sdf_bf_control_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_snorm_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_sglstream_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_si_qe_so_bb_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_3tdp_rom_fft_110.vhd
│   │   │   │   │   │   ├── apn_hcc_cntsgn32_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_6tdp_rom_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_si_so_se_so_b_fft_110.ocp
│   │   │   │   │   │   ├── auk_dspip_r22sdf_delay_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_fpcompiler_aslf_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_unbburst_ctrl_de_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_r22sdf_enable_control_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_twadgen_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_roundsat_fft_110.vhd
│   │   │   │   │   │   ├── apn_fftfp_shift_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_r22sdf_bfii_fft_110.vhd
│   │   │   │   │   │   ├── auk_dspip_math_pkg_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_cnt_ctrl_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_tdl_rst_fft_110.vhd
│   │   │   │   │   │   ├── asj_fft_3dp_rom_fft_110.vhd
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── lpm_pulser_gen.map.hdb
│   │   │   │   │   │   ├── lpm_pulser_gen.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── lpm_pulser_gen.tis_db_list.ddb
│   │   │   │   │   │   ├── lpm_pulser_gen.pre_map.hdb
│   │   │   │   │   │   ├── lpm_pulser_gen.db_info
│   │   │   │   │   │   ├── lpm_pulser_gen.sld_design_entry.sci
│   │   │   │   │   │   ├── lpm_pulser_gen.hif
│   │   │   │   │   │   ├── lpm_pulser_gen.sgdiff.hdb
│   │   │   │   │   │   ├── lpm_pulser_gen.(0).cnf.cdb
│   │   │   │   │   │   ├── lpm_pulser_gen.(0).cnf.hdb
│   │   │   │   │   │   ├── lpm_pulser_gen.rtlv_sg.cdb
│   │   │   │   │   │   ├── lpm_pulser_gen.lpc.html
│   │   │   │   │   │   ├── lpm_pulser_gen.map.cdb
│   │   │   │   │   │   ├── lpm_pulser_gen.syn_hier_info
│   │   │   │   │   │   ├── lpm_pulser_gen.map_bb.cdb
│   │   │   │   │   │   ├── lpm_pulser_gen.hier_info
│   │   │   │   │   │   ├── lpm_pulser_gen.map.logdb
│   │   │   │   │   │   ├── lpm_pulser_gen.cmp_merge.kpt
│   │   │   │   │   │   ├── lpm_pulser_gen.map.kpt
│   │   │   │   │   │   ├── lpm_pulser_gen.lpc.rdb
│   │   │   │   │   │   ├── lpm_pulser_gen.pre_map.cdb
│   │   │   │   │   │   ├── lpm_pulser_gen.map_bb.hdb
│   │   │   │   │   │   ├── lpm_pulser_gen.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── prev_cmp_lpm_pulser_gen.qmsg
│   │   │   │   │   │   ├── lpm_pulser_gen.rtlv.hdb
│   │   │   │   │   │   ├── lpm_pulser_gen.sgdiff.cdb
│   │   │   │   │   │   ├── lpm_pulser_gen.cbx.xml
│   │   │   │   │   │   ├── lpm_pulser_gen.map_bb.logdb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── lpm_pulser_gen.smart_action.txt
│   │   │   │   │   │   ├── lpm_pulser_gen.map.qmsg
│   │   │   │   │   │   ├── lpm_pulser_gen.lpc.txt
│   │   │   │   │   │   ├── lpm_pulser_gen.map.bpm
│   │   │   │   │   │   ├── lpm_pulser_gen.cmp.rdb
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── lpm_pulser_moore_tb
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── lpm_pulser_moore
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   ├── lpm_pulser_gen_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── wave.do
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   ├── my_fft_real_input.txt
│   │   │   │   │   ├── my_fft_tb.vhd
│   │   │   │   │   ├── lpm_pulser_gen.sv.bak
│   │   │   │   │   ├── my_fft_2n1024cos.hex
│   │   │   │   │   ├── lpm_pulser_moore.sv.bak
│   │   │   │   │   ├── lpm_pulser_gen.sv
│   │   │   │   │   ├── lpm_pulser_gen.flow.rpt
│   │   │   │   │   ├── lpm_pulser_gen.map.smsg
│   │   │   │   │   ├── lpm_pulser_gen.map.summary
│   │   │   │   │   ├── my_fft_1n1024sin.hex
│   │   │   │   │   ├── top.bdf
│   │   │   │   │   ├── lpm_pulser_gen_nativelink_simulation.rpt
│   │   │   │   │   ├── my_fft_nativelink.tcl
│   │   │   │   │   ├── lpm_pulser_gen.qpf
│   │   │   │   │   ├── my_fft.v
│   │   │   │   │   ├── my_fft_tb.v
│   │   │   │   │   ├── lpm_pulser_moore_tb.sv
│   │   │   │   │   ├── lpm_pulser_gen.done
│   │   │   │   │   ├── my_fft.qip
│   │   │   │   │   ├── my_fft.bsf
│   │   │   │   │   ├── lpm_pulser_moore_tb.sv.bak
│   │   │   │   │   ├── lpm_pulser_moore.sv
│   │   │   │   │   ├── lpm_pulser_gen.map.rpt
│   │   │   │   │   ├── my_fft_model.m
│   │   │   │   │   ├── my_fft_tb.m
│   │   │   │   │   ├── lpm_pulser_gen.qsf
│   │   │   │   │   ├── my_fft_imag_input.txt
│   │   │   │   │   ├── my_fft_bb.v
│   │   │   │   │   ├── my_fft.html
│   │   │   │   │   ├── my_fft_3n1024cos.hex
│   │   │   │   │   ├── my_fft_2n1024sin.hex
│   │   │   │   │   ├── my_fft_1n1024cos.hex
│   │   │   │   │   ├── velocity.log
│   │   │   │   │   ├── my_fft_3n1024sin.hex
│   │   │   │   │   ├── my_fft.vo
│   │   │   │   ├── 习题.docx
│   │   │   │   ├── 单脉冲发生器设计报告.docx
│   │   ├── 0529
│   │   │   ├── 0529090217.avi
│   │   │   ├── 0529100800.avi
│   │   │   ├── seven_tube_drive.zip
│   │   ├── 0615
│   │   │   ├── 0615090447.avi
│   │   │   ├── 615.zip
│   │   │   ├── 0615101835.avi
│   │   ├── 20200831
│   │   │   ├── 下游主动反制传输.mp4
│   │   ├── 20201021
│   │   │   ├── 北京至芯2007届就业班课程.mp4
│   │   ├── 0514
│   │   │   ├── adder16
│   │   │   │   ├── prj
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── adder16.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── adder16.root_partition.map.hdb
│   │   │   │   │   │   │   ├── adder16.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── adder16.root_partition.map.dpi
│   │   │   │   │   │   │   ├── adder16.root_partition.map.cdb
│   │   │   │   │   │   │   ├── adder16.root_partition.map.kpt
│   │   │   │   │   │   │   ├── adder16.db_info
│   │   │   │   │   │   │   ├── adder16.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── adder16.root_partition.map.hbdb.sig
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── adder16.(0).cnf.hdb
│   │   │   │   │   │   ├── adder16.hif
│   │   │   │   │   │   ├── adder16.cmp_merge.kpt
│   │   │   │   │   │   ├── adder16.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── adder16.map_bb.hdb
│   │   │   │   │   │   ├── adder16.cmp.rdb
│   │   │   │   │   │   ├── adder16.tis_db_list.ddb
│   │   │   │   │   │   ├── adder16.map.kpt
│   │   │   │   │   │   ├── prev_cmp_adder16.qmsg
│   │   │   │   │   │   ├── adder16.sgdiff.hdb
│   │   │   │   │   │   ├── adder16.map.cdb
│   │   │   │   │   │   ├── adder16.sgate_sm.rvd
│   │   │   │   │   │   ├── adder16.rtlv_sg.cdb
│   │   │   │   │   │   ├── adder16.map.rdb
│   │   │   │   │   │   ├── adder16.syn_hier_info
│   │   │   │   │   │   ├── adder16.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── adder16.sgate.rvd
│   │   │   │   │   │   ├── adder16.cbx.xml
│   │   │   │   │   │   ├── adder16.db_info
│   │   │   │   │   │   ├── adder16.rtlv.hdb
│   │   │   │   │   │   ├── adder16.map_bb.cdb
│   │   │   │   │   │   ├── adder16.pti_db_list.ddb
│   │   │   │   │   │   ├── adder16.(3).cnf.hdb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── adder16.pre_map.hdb
│   │   │   │   │   │   ├── adder16.map.hdb
│   │   │   │   │   │   ├── adder16.lpc.rdb
│   │   │   │   │   │   ├── adder16.(2).cnf.cdb
│   │   │   │   │   │   ├── adder16.map.ammdb
│   │   │   │   │   │   ├── adder16.(3).cnf.cdb
│   │   │   │   │   │   ├── adder16.map.qmsg
│   │   │   │   │   │   ├── adder16.map.bpm
│   │   │   │   │   │   ├── adder16.root_partition.map.reg_db.cdb
│   │   │   │   │   │   ├── adder16.sld_design_entry.sci
│   │   │   │   │   │   ├── adder16.hier_info
│   │   │   │   │   │   ├── adder16.(2).cnf.hdb
│   │   │   │   │   │   ├── adder16.map_bb.logdb
│   │   │   │   │   │   ├── adder16.sgdiff.cdb
│   │   │   │   │   │   ├── adder16.ipinfo
│   │   │   │   │   │   ├── adder16.(1).cnf.hdb
│   │   │   │   │   │   ├── adder16.lpc.html
│   │   │   │   │   │   ├── adder16.(0).cnf.cdb
│   │   │   │   │   │   ├── adder16.lpc.txt
│   │   │   │   │   │   ├── adder16.rpp.qmsg
│   │   │   │   │   │   ├── adder16.smart_action.txt
│   │   │   │   │   │   ├── adder16.(1).cnf.cdb
│   │   │   │   │   │   ├── adder16.map.logdb
│   │   │   │   │   ├── output_files
│   │   │   │   │   │   ├── adder16.done
│   │   │   │   │   │   ├── adder16.map.rpt
│   │   │   │   │   │   ├── adder16.map.summary
│   │   │   │   │   │   ├── adder16.flow.rpt
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── adder4
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── half_adder
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── adder16_tb
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── adder1
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── adder16
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── adder16_run_msim_rtl_verilog.do.bak
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── adder16_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   ├── adder16.qpf
│   │   │   │   │   ├── adder16.qws
│   │   │   │   │   ├── adder16_nativelink_simulation.rpt
│   │   │   │   │   ├── adder16.qsf
│   │   │   │   ├── doc
│   │   │   │   │   ├── adder16.docx
│   │   │   │   ├── sim
│   │   │   │   │   ├── adder16_tb.v
│   │   │   │   │   ├── adder16_tb.v.bak
│   │   │   │   ├── src
│   │   │   │   │   ├── adder1.v
│   │   │   │   │   ├── adder16.v
│   │   │   │   │   ├── half_adder.v
│   │   │   │   │   ├── adder4.v
│   │   │   │   │   ├── half_adder.v.bak
│   │   │   │   │   ├── adder1.v.bak
│   │   │   │   │   ├── adder16.v.bak
│   │   │   │   │   ├── adder4.v.bak
│   │   │   ├── 0514111817.avi
│   │   │   ├── 0514101119.avi
│   │   │   ├── 0514090413.avi
│   │   ├── 20201015
│   │   │   ├── FPGA与数字图像技术.mp4
│   │   ├── 20200918
│   │   │   ├── 造芯工程:RISC_SPM.mp4
│   │   ├── 0622-0623
│   │   │   ├── uvm
│   │   │   │   ├── UVM-PART1+2.pptx
│   │   │   ├── 0623133631.avi
│   │   │   ├── 0623092853.avi
│   │   │   ├── uvm_SEQUENCE.pdf
│   │   │   ├── 0622133354.avi
│   │   │   ├── 0622093731.avi
│   │   ├── 0528
│   │   │   ├── 0528103304.avi
│   │   │   ├── 0528090638.avi
│   │   │   ├── seven_tube_drive.zip
│   │   ├── 0709
│   │   │   ├── 资料_0709
│   │   │   │   ├── divider
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── divider.root_partition.map.hdb
│   │   │   │   │   │   │   ├── divider.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── divider.db_info
│   │   │   │   │   │   │   ├── divider.root_partition.map.cdb
│   │   │   │   │   │   │   ├── divider.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── divider.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── divider.root_partition.map.dpi
│   │   │   │   │   │   │   ├── divider.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── divider.root_partition.map.kpt
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── divider_mealy_1s_ebd_sv
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── divider_mealy_1s_ebd_sv_tb
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   ├── wave.do
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── divider_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── divider_run_msim_rtl_verilog.do.bak
│   │   │   │   │   │   │   ├── divider_run_msim_rtl_verilog.do.bak2
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── divider_run_msim_rtl_verilog.do.bak1
│   │   │   │   │   │   │   ├── divider_run_msim_rtl_verilog.do.bak3
│   │   │   │   │   ├── doc
│   │   │   │   │   │   ├── 分频器设计报告.docx
│   │   │   │   │   ├── output_files
│   │   │   │   │   │   ├── divider.flow.rpt
│   │   │   │   │   │   ├── divider.map.smsg
│   │   │   │   │   │   ├── divider.map.rpt
│   │   │   │   │   │   ├── divider.map.summary
│   │   │   │   │   │   ├── divider.done
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── divider.cbx.xml
│   │   │   │   │   │   ├── divider.(0).cnf.hdb
│   │   │   │   │   │   ├── divider.root_partition.map.reg_db.cdb
│   │   │   │   │   │   ├── divider.db_info
│   │   │   │   │   │   ├── divider.map_bb.cdb
│   │   │   │   │   │   ├── prev_cmp_divider.qmsg
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── divider.rtlv.hdb
│   │   │   │   │   │   ├── divider.map.cdb
│   │   │   │   │   │   ├── divider.map.hdb
│   │   │   │   │   │   ├── divider.(2).cnf.hdb
│   │   │   │   │   │   ├── divider.(4).cnf.hdb
│   │   │   │   │   │   ├── divider.cmp.rdb
│   │   │   │   │   │   ├── divider.(1).cnf.hdb
│   │   │   │   │   │   ├── divider.map.logdb
│   │   │   │   │   │   ├── divider.(3).cnf.hdb
│   │   │   │   │   │   ├── divider.cmp_merge.kpt
│   │   │   │   │   │   ├── divider.map.kpt
│   │   │   │   │   │   ├── divider.(3).cnf.cdb
│   │   │   │   │   │   ├── divider.hier_info
│   │   │   │   │   │   ├── divider.sgdiff.cdb
│   │   │   │   │   │   ├── divider.(4).cnf.cdb
│   │   │   │   │   │   ├── divider.syn_hier_info
│   │   │   │   │   │   ├── divider.(1).cnf.cdb
│   │   │   │   │   │   ├── divider.smart_action.txt
│   │   │   │   │   │   ├── divider.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── divider.ipinfo
│   │   │   │   │   │   ├── divider.sld_design_entry.sci
│   │   │   │   │   │   ├── divider.tis_db_list.ddb
│   │   │   │   │   │   ├── divider.map.bpm
│   │   │   │   │   │   ├── divider.map_bb.logdb
│   │   │   │   │   │   ├── divider.map.qmsg
│   │   │   │   │   │   ├── divider.pti_db_list.ddb
│   │   │   │   │   │   ├── divider.map_bb.hdb
│   │   │   │   │   │   ├── divider.tmw_info
│   │   │   │   │   │   ├── divider.smp_dump.txt
│   │   │   │   │   │   ├── divider.map.ammdb
│   │   │   │   │   │   ├── divider.lpc.rdb
│   │   │   │   │   │   ├── divider.(0).cnf.cdb
│   │   │   │   │   │   ├── divider.(2).cnf.cdb
│   │   │   │   │   │   ├── divider.rtlv_sg.cdb
│   │   │   │   │   │   ├── divider.pre_map.hdb
│   │   │   │   │   │   ├── divider.map.rdb
│   │   │   │   │   │   ├── divider.lpc.txt
│   │   │   │   │   │   ├── divider.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── divider.lpc.html
│   │   │   │   │   │   ├── divider.sgdiff.hdb
│   │   │   │   │   │   ├── divider.hif
│   │   │   │   │   ├── divider_mealy_3s_ebd_tb.v.bak
│   │   │   │   │   ├── divider_mealy_1s_ebd_sv_tb.sv.bak
│   │   │   │   │   ├── divider_mealy_3s_ebd.v
│   │   │   │   │   ├── divider_mealy_1s_ebd_sv_tb.sv
│   │   │   │   │   ├── divider_mealy_2s_ebd.v.bak
│   │   │   │   │   ├── divider_mealy_3s_ebd_tb.v
│   │   │   │   │   ├── divider_mealy_1s_ebd_sv.sv
│   │   │   │   │   ├── divider_mealy_1s_ebd.v
│   │   │   │   │   ├── divider_mealy_2s_ebd_tb.v
│   │   │   │   │   ├── divider_nativelink_simulation.rpt
│   │   │   │   │   ├── divider.qws
│   │   │   │   │   ├── divider_mealy_2s_ebd_tb.v.bak
│   │   │   │   │   ├── divider_mealy_2s_ebd.v
│   │   │   │   │   ├── divider_moore_2s_nbd_tb.v.bak
│   │   │   │   │   ├── divider_mealy_1s_ebd.v.bak
│   │   │   │   │   ├── divider_moore_2s_nbd.v.bak
│   │   │   │   │   ├── divider.qpf
│   │   │   │   │   ├── divider_mealy_3s_ebd.v.bak
│   │   │   │   │   ├── divider_moore_2s_nbd_tb.v
│   │   │   │   │   ├── divider_mealy_1s_ebd_sv.sv.bak
│   │   │   │   │   ├── divider_moore_2s_nbd.v
│   │   │   │   │   ├── divider.qsf
│   │   │   │   │   ├── divider_mealy_1s_ebd_tb.v.bak
│   │   │   │   │   ├── divider_mealy_1s_ebd_tb.v
│   │   │   │   ├── 7_8_1led_run_test
│   │   │   │   │   ├── prj
│   │   │   │   │   │   ├── ipcore
│   │   │   │   │   │   │   ├── greybox_tmp
│   │   │   │   │   │   │   │   ├── cbx_args.txt
│   │   │   │   │   │   │   ├── pll_ip.qip
│   │   │   │   │   │   ├── db
│   │   │   │   │   │   │   ├── led_run_test.ipinfo
│   │   │   │   │   │   │   ├── led_run_test.sld_design_entry.sci
│   │   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   │   ├── .cmp.kpt
│   │   │   │   │   │   │   ├── prev_cmp_led_run_test.qmsg
│   │   │   │   │   │   │   ├── led_run_test.db_info
│   │   │   │   │   │   │   ├── pll_ip_altpll.v
│   │   │   │   │   │   ├── simulation
│   │   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   │   ├── @_opt
│   │   │   │   │   │   │   │   │   │   ├── _lib2_0.qpg
│   │   │   │   │   │   │   │   │   │   ├── _lib.qdb
│   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qdb
│   │   │   │   │   │   │   │   │   │   ├── _lib4_0.qtl
│   │   │   │   │   │   │   │   │   │   ├── _lib4_0.qdb
│   │   │   │   │   │   │   │   │   │   ├── _lib3_0.qtl
│   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qtl
│   │   │   │   │   │   │   │   │   │   ├── _lib3_0.qpg
│   │   │   │   │   │   │   │   │   │   ├── _lib2_0.qdb
│   │   │   │   │   │   │   │   │   │   ├── _lib2_0.qtl
│   │   │   │   │   │   │   │   │   │   ├── _lib3_0.qdb
│   │   │   │   │   │   │   │   │   │   ├── _lib4_0.qpg
│   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qpg
│   │   │   │   │   │   │   │   │   ├── _tempmsg
│   │   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   │   ├── _lib.qdb
│   │   │   │   │   │   │   │   │   ├── _lib1_0.qpg
│   │   │   │   │   │   │   │   │   ├── _lib1_0.qdb
│   │   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   │   ├── _lib1_0.qtl
│   │   │   │   │   │   │   │   ├── verilog_libs
│   │   │   │   │   │   │   │   │   ├── cycloneive_ver
│   │   │   │   │   │   │   │   │   │   ├── _lib1_5.qpg
│   │   │   │   │   │   │   │   │   │   ├── _lib1_5.qdb
│   │   │   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   │   │   ├── _lib1_5.qtl
│   │   │   │   │   │   │   │   │   │   ├── _lib.qdb
│   │   │   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   │   ├── altera_lnsim_ver
│   │   │   │   │   │   │   │   │   │   ├── _lib1_5.qtl
│   │   │   │   │   │   │   │   │   │   ├── _lib1_5.qpg
│   │   │   │   │   │   │   │   │   │   ├── _lib.qdb
│   │   │   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   │   │   ├── _lib1_5.qdb
│   │   │   │   │   │   │   │   │   ├── altera_ver
│   │   │   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   │   │   ├── _lib1_2.qpg
│   │   │   │   │   │   │   │   │   │   ├── _lib1_2.qtl
│   │   │   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   │   │   ├── _lib1_2.qdb
│   │   │   │   │   │   │   │   │   │   ├── _lib.qdb
│   │   │   │   │   │   │   │   │   ├── lpm_ver
│   │   │   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   │   │   ├── _lib1_2.qdb
│   │   │   │   │   │   │   │   │   │   ├── _lib1_2.qtl
│   │   │   │   │   │   │   │   │   │   ├── _lib.qdb
│   │   │   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   │   │   ├── _lib1_2.qpg
│   │   │   │   │   │   │   │   │   ├── sgate_ver
│   │   │   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   │   │   ├── _lib1_1.qpg
│   │   │   │   │   │   │   │   │   │   ├── _lib1_1.qdb
│   │   │   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   │   │   ├── _lib.qdb
│   │   │   │   │   │   │   │   │   │   ├── _lib1_1.qtl
│   │   │   │   │   │   │   │   │   ├── altera_mf_ver
│   │   │   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   │   │   ├── _lib1_5.qtl
│   │   │   │   │   │   │   │   │   │   ├── _lib.qdb
│   │   │   │   │   │   │   │   │   │   ├── _lib1_5.qdb
│   │   │   │   │   │   │   │   │   │   ├── _lib1_5.qpg
│   │   │   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── led_run_test_modelsim.xrf
│   │   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   │   ├── led_run_test_min_1200mv_0c_v_fast.sdo
│   │   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   │   ├── led_run_test_v.sdo
│   │   │   │   │   │   │   │   ├── led_run_test_run_msim_rtl_verilog.do.bak2
│   │   │   │   │   │   │   │   ├── led_run_test_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   │   ├── led_run_test_8_1200mv_0c_slow.vo
│   │   │   │   │   │   │   │   ├── led_run_test_8_1200mv_0c_v_slow.sdo
│   │   │   │   │   │   │   │   ├── led_run_test_run_msim_rtl_verilog.do.bak1
│   │   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   │   ├── led_run_test.sft
│   │   │   │   │   │   │   │   ├── led_run_test.vo
│   │   │   │   │   │   │   │   ├── led_run_test_run_msim_rtl_verilog.do.bak
│   │   │   │   │   │   │   │   ├── led_run_test_run_msim_rtl_verilog.do.bak3
│   │   │   │   │   │   │   │   ├── led_run_test_8_1200mv_85c_v_slow.sdo
│   │   │   │   │   │   │   │   ├── led_run_test_8_1200mv_85c_slow.vo
│   │   │   │   │   │   │   │   ├── led_run_test_min_1200mv_0c_fast.vo
│   │   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   │   ├── led_run_test.db_info
│   │   │   │   │   │   │   ├── README
│   │   │   │   │   │   ├── output_files
│   │   │   │   │   │   │   ├── led_run_test.fit.summary
│   │   │   │   │   │   │   ├── led_run_test.fit.rpt
│   │   │   │   │   │   │   ├── led_run_test.sta.summary
│   │   │   │   │   │   │   ├── led_run_test.map.rpt
│   │   │   │   │   │   │   ├── led_run_test.sof
│   │   │   │   │   │   │   ├── led_run_test.jdi
│   │   │   │   │   │   │   ├── led_run_test.asm.rpt
│   │   │   │   │   │   │   ├── led_run_test.pin
│   │   │   │   │   │   │   ├── led_run_test.sta.rpt
│   │   │   │   │   │   │   ├── led_run_test.eda.rpt
│   │   │   │   │   │   │   ├── led_run_test.map.summary
│   │   │   │   │   │   │   ├── led_run_test.flow.rpt
│   │   │   │   │   │   │   ├── led_run_test.fit.smsg
│   │   │   │   │   │   │   ├── led_run_test.done
│   │   │   │   │   │   ├── greybox_tmp
│   │   │   │   │   │   │   ├── cbx_args.txt
│   │   │   │   │   │   ├── pll_ip.qip
│   │   │   │   │   │   ├── pll_ip.ppf
│   │   │   │   │   │   ├── led_run_test.qpf
│   │   │   │   │   │   ├── pll_ip_inst.v
│   │   │   │   │   │   ├── led_run_test_nativelink_simulation.rpt
│   │   │   │   │   │   ├── pll_ip.v
│   │   │   │   │   │   ├── led_run_test.qsf
│   │   │   │   │   │   ├── led_run_test.qws
│   │   │   │   │   ├── doc
│   │   │   │   │   │   ├── 流水灯设计报告.doc
│   │   │   │   │   ├── sim
│   │   │   │   │   │   ├── led_run_test_tb.v
│   │   │   │   │   ├── matlab
│   │   │   │   │   ├── src
│   │   │   │   │   │   ├── led_run.v
│   │   │   │   │   │   ├── led_run_test.v
│   │   │   │   │   ├── 流水灯设计报告.doc
│   │   │   │   ├── led_run_mealy_1s_ebd
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.map.hdb
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.(0).cnf.hdb
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.cbx.xml
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.map.bpm
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.syn_hier_info
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.tmw_info
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.map.qmsg
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.rtlv_sg.cdb
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.tis_db_list.ddb
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.map.ammdb
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.(0).cnf.cdb
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.ipinfo
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.hier_info
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.pre_map.hdb
│   │   │   │   │   │   ├── prev_cmp_led_run_mealy_1s_ebd.qmsg
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.sld_design_entry.sci
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.lpc.html
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.rtlv.hdb
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.lpc.rdb
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.smp_dump.txt
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.smart_action.txt
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.map.logdb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.map_bb.hdb
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.map.kpt
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.root_partition.map.reg_db.cdb
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.map_bb.cdb
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.db_info
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.map.cdb
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.cmp_merge.kpt
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.hif
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.cmp.rdb
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.pti_db_list.ddb
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.sgdiff.cdb
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.map.rdb
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.lpc.txt
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.map_bb.logdb
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.sgdiff.hdb
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── led_run_mealy_1s_ebd_tb
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── led_run_mealy_1s_ebd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── led_run_mealy_1s_ebd_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   ├── output_files
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.map.summary
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.map.rpt
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.flow.rpt
│   │   │   │   │   │   ├── led_run_mealy_1s_ebd.done
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── led_run_mealy_1s_ebd.root_partition.map.cdb
│   │   │   │   │   │   │   ├── led_run_mealy_1s_ebd.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── led_run_mealy_1s_ebd.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── led_run_mealy_1s_ebd.db_info
│   │   │   │   │   │   │   ├── led_run_mealy_1s_ebd.root_partition.map.kpt
│   │   │   │   │   │   │   ├── led_run_mealy_1s_ebd.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── led_run_mealy_1s_ebd.root_partition.map.hdb
│   │   │   │   │   │   │   ├── led_run_mealy_1s_ebd.root_partition.map.dpi
│   │   │   │   │   │   │   ├── led_run_mealy_1s_ebd.root_partition.map.hbdb.hdb
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── led_run_mealy_1s_ebd.v
│   │   │   │   │   ├── 流水灯设计报告.doc
│   │   │   │   │   ├── led_run_mealy_1s_ebd.qpf
│   │   │   │   │   ├── led_run_mealy_1s_ebd_tb.v
│   │   │   │   │   ├── led_run_mealy_1s_ebd_tb.v.bak
│   │   │   │   │   ├── led_run_mealy_1s_ebd.v.bak
│   │   │   │   │   ├── led_run_mealy_1s_ebd.qws
│   │   │   │   │   ├── led_run_mealy_1s_ebd.qsf
│   │   │   │   │   ├── led_run_mealy_1s_ebd_nativelink_simulation.rpt
│   │   │   │   ├── 习题.doc
│   │   │   ├── 视频_0709
│   │   │   │   ├── 0709094924.avi
│   │   │   │   ├── 0709091045.avi
│   │   │   │   ├── 0709090204.avi
│   │   │   │   ├── 0709132721.avi
│   │   │   │   ├── 0709094909.avi
│   │   ├── 0619-清晰版
│   │   │   ├── 002.wmv
│   │   │   ├── 001.wmv
│   │   ├── 0718
│   │   │   ├── 资料_0718
│   │   │   │   ├── interval_controller
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── int_cn_mealy_builtin
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── int_cn_mealy_builtin_tb
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   ├── interval_controller_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── interval_controller.cmp.rdb
│   │   │   │   │   │   ├── interval_controller.cbx.xml
│   │   │   │   │   │   ├── interval_controller.lpc.html
│   │   │   │   │   │   ├── interval_controller.hier_info
│   │   │   │   │   │   ├── prev_cmp_interval_controller.qmsg
│   │   │   │   │   │   ├── interval_controller.pre_map.cdb
│   │   │   │   │   │   ├── interval_controller.smart_action.txt
│   │   │   │   │   │   ├── interval_controller.map.kpt
│   │   │   │   │   │   ├── interval_controller.tis_db_list.ddb
│   │   │   │   │   │   ├── interval_controller.map_bb.cdb
│   │   │   │   │   │   ├── interval_controller.map.qmsg
│   │   │   │   │   │   ├── interval_controller.(0).cnf.cdb
│   │   │   │   │   │   ├── interval_controller.map.logdb
│   │   │   │   │   │   ├── interval_controller.lpc.rdb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── interval_controller.map_bb.logdb
│   │   │   │   │   │   ├── interval_controller.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── interval_controller.syn_hier_info
│   │   │   │   │   │   ├── interval_controller.rtlv.hdb
│   │   │   │   │   │   ├── interval_controller.lpc.txt
│   │   │   │   │   │   ├── interval_controller.map_bb.hdb
│   │   │   │   │   │   ├── interval_controller.(1).cnf.cdb
│   │   │   │   │   │   ├── interval_controller.map.cdb
│   │   │   │   │   │   ├── interval_controller.sld_design_entry.sci
│   │   │   │   │   │   ├── interval_controller.map.hdb
│   │   │   │   │   │   ├── interval_controller.db_info
│   │   │   │   │   │   ├── interval_controller.map.bpm
│   │   │   │   │   │   ├── interval_controller.pre_map.hdb
│   │   │   │   │   │   ├── interval_controller.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── interval_controller.(1).cnf.hdb
│   │   │   │   │   │   ├── interval_controller.cmp_merge.kpt
│   │   │   │   │   │   ├── interval_controller.hif
│   │   │   │   │   │   ├── interval_controller.rtlv_sg.cdb
│   │   │   │   │   │   ├── interval_controller.(0).cnf.hdb
│   │   │   │   │   │   ├── interval_controller.sgdiff.hdb
│   │   │   │   │   │   ├── interval_controller.sgdiff.cdb
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── interval_controller.root_partition.map.hdb
│   │   │   │   │   │   │   ├── interval_controller.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── interval_controller.db_info
│   │   │   │   │   │   │   ├── interval_controller.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── interval_controller.root_partition.map.cdb
│   │   │   │   │   │   │   ├── interval_controller.root_partition.map.kpt
│   │   │   │   │   │   │   ├── interval_controller.root_partition.map.dpi
│   │   │   │   │   │   │   ├── interval_controller.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── interval_controller.root_partition.map.hbdb.sig
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── interval_controller.qsf
│   │   │   │   │   ├── interval_controller.map.rpt
│   │   │   │   │   ├── int_cn_mealy_builtin.sv.bak
│   │   │   │   │   ├── 节拍间隔控制器模型设计报告.docx
│   │   │   │   │   ├── int_cn_mealy_builtin_tb.sv.bak
│   │   │   │   │   ├── interval_controller.done
│   │   │   │   │   ├── interval_controller_nativelink_simulation.rpt
│   │   │   │   │   ├── interval_controller.map.summary
│   │   │   │   │   ├── interval_controller.flow.rpt
│   │   │   │   │   ├── interval_controller.sv
│   │   │   │   │   ├── int_cn_mealy_builtin_tb.sv
│   │   │   │   │   ├── int_cn_mealy_builtin.sv
│   │   │   │   │   ├── interval_controller.sv.bak
│   │   │   │   │   ├── interval_controller.qpf
│   │   │   │   ├── pulser_width_modulation
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── data_generate
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── pwm_mealy_cn_builtin_tb
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── pwm_mealy_cn_builtin
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── pulser_width_modulation_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── pulser_width_modulation_run_msim_rtl_verilog.do.bak
│   │   │   │   │   │   │   ├── wave.do
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── pulser_width_modulation.sgdiff.cdb
│   │   │   │   │   │   ├── pulser_width_modulation.cbx.xml
│   │   │   │   │   │   ├── pulser_width_modulation.map_bb.logdb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── pulser_width_modulation.lpc.rdb
│   │   │   │   │   │   ├── pulser_width_modulation.cmp.rdb
│   │   │   │   │   │   ├── pulser_width_modulation.map.kpt
│   │   │   │   │   │   ├── pulser_width_modulation.map.qmsg
│   │   │   │   │   │   ├── pulser_width_modulation.db_info
│   │   │   │   │   │   ├── pulser_width_modulation.sgdiff.hdb
│   │   │   │   │   │   ├── pulser_width_modulation.sld_design_entry.sci
│   │   │   │   │   │   ├── prev_cmp_pulser_width_modulation.qmsg
│   │   │   │   │   │   ├── pulser_width_modulation.pre_map.hdb
│   │   │   │   │   │   ├── pulser_width_modulation.map_bb.hdb
│   │   │   │   │   │   ├── pulser_width_modulation.(0).cnf.hdb
│   │   │   │   │   │   ├── pulser_width_modulation.map.logdb
│   │   │   │   │   │   ├── pulser_width_modulation.map.hdb
│   │   │   │   │   │   ├── pulser_width_modulation.rtlv_sg.cdb
│   │   │   │   │   │   ├── pulser_width_modulation.cmp_merge.kpt
│   │   │   │   │   │   ├── pulser_width_modulation.pre_map.cdb
│   │   │   │   │   │   ├── pulser_width_modulation.map.bpm
│   │   │   │   │   │   ├── pulser_width_modulation.map_bb.cdb
│   │   │   │   │   │   ├── pulser_width_modulation.tis_db_list.ddb
│   │   │   │   │   │   ├── pulser_width_modulation.syn_hier_info
│   │   │   │   │   │   ├── pulser_width_modulation.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── pulser_width_modulation.(0).cnf.cdb
│   │   │   │   │   │   ├── pulser_width_modulation.map.cdb
│   │   │   │   │   │   ├── pulser_width_modulation.(1).cnf.cdb
│   │   │   │   │   │   ├── pulser_width_modulation.lpc.html
│   │   │   │   │   │   ├── pulser_width_modulation.(1).cnf.hdb
│   │   │   │   │   │   ├── pulser_width_modulation.hier_info
│   │   │   │   │   │   ├── pulser_width_modulation.smart_action.txt
│   │   │   │   │   │   ├── pulser_width_modulation.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── pulser_width_modulation.hif
│   │   │   │   │   │   ├── pulser_width_modulation.rtlv.hdb
│   │   │   │   │   │   ├── pulser_width_modulation.lpc.txt
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── pulser_width_modulation.db_info
│   │   │   │   │   │   │   ├── pulser_width_modulation.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── pulser_width_modulation.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── pulser_width_modulation.root_partition.map.hdb
│   │   │   │   │   │   │   ├── pulser_width_modulation.root_partition.map.cdb
│   │   │   │   │   │   │   ├── pulser_width_modulation.root_partition.map.dpi
│   │   │   │   │   │   │   ├── pulser_width_modulation.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── pulser_width_modulation.root_partition.map.kpt
│   │   │   │   │   │   │   ├── pulser_width_modulation.root_partition.map.hbdb.hdb
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── pwm_mealy_cn_builtin.sv
│   │   │   │   │   ├── pulser_width_modulation.flow.rpt
│   │   │   │   │   ├── pulser_width_modulation.map.summary
│   │   │   │   │   ├── pulser_width_modulation.qsf
│   │   │   │   │   ├── pulser_width_modulation.done
│   │   │   │   │   ├── pwm_mealy_cn_builtin_tb.sv.bak
│   │   │   │   │   ├── pwm_mealy_cn_builtin_tb.sv
│   │   │   │   │   ├── data_generate.sv
│   │   │   │   │   ├── pulser_width_modulation_nativelink_simulation.rpt
│   │   │   │   │   ├── pulser_width_modulation.qpf
│   │   │   │   │   ├── pulser_width_modulation.map.rpt
│   │   │   │   │   ├── pulser_width_modulation.sv
│   │   │   │   │   ├── pwm_mealy_cn_builtin.sv.bak
│   │   │   │   │   ├── pulser_width_modulation.sv.bak
│   │   │   │   │   ├── data_generate.sv.bak
│   │   │   │   ├── 习题.docx
│   │   │   │   ├── 节拍间隔控制器模型设计报告.docx
│   │   │   ├── 视频_0718
│   │   │   │   ├── 0718133258.avi
│   │   │   │   ├── 0718100519.avi
│   │   │   │   ├── 0718090229.avi
│   │   ├── 20201023
│   │   │   ├── 20201023
│   │   │   │   ├── 关于地址范围译码.docx
│   │   │   │   ├── 练习题.docx
│   │   │   │   ├── 精简指令CPU造芯工程设计报告.docx
│   │   │   │   ├── my_risc.ppt
│   │   │   ├── 北京至芯2007届课程 .mp4
│   │   ├── 0601
│   │   │   ├── 0601142849.avi
│   │   │   ├── 0601090422.avi
│   │   │   ├── 0601102607.avi
│   │   │   ├── 601.zip
│   │   ├── 0812
│   │   │   ├── 20200812
│   │   │   │   ├── 20200812.rar
│   │   │   │   ├── 练习题.docx
│   │   │   │   ├── 武汉内训08012.pdf
│   │   │   ├── TP图分析和TPS图样.mp4
│   │   ├── 20201016
│   │   │   ├── 浮点运算和课程总结.mp4
│   │   ├── 20201101
│   │   │   ├── 北京至芯2007就业班课程 (2).mp4
│   │   ├── 0612
│   │   │   ├── 0612090544.avi
│   │   │   ├── 0612104604.avi
│   │   │   ├── keyboard_drive.zip
│   │   ├── 20200917
│   │   │   ├── 造芯工程:RISC_SPM.mp4
│   │   ├── 20201010
│   │   │   ├── 扩频通信和CDMA技术.mp4
│   │   │   ├── 扩频通信和CDMA技术 (1).mp4
│   │   ├── 0621
│   │   │   ├── 0621090612.avi
│   │   │   ├── 0621103545.avi
│   │   ├── 0719
│   │   │   ├── 视频_0719
│   │   │   │   ├── 0719132901.avi
│   │   │   │   ├── 0719110430.avi
│   │   │   │   ├── 0719085735.avi
│   │   │   ├── 资料_0719
│   │   │   │   ├── doc
│   │   │   │   │   ├── timing_design.pdf
│   │   │   │   │   ├── quartusii_handbook.pdf
│   │   │   │   │   ├── timing.docx
│   │   │   │   │   ├── 时序分析计算题补充.docx
│   │   │   │   │   ├── timing_design_story.pdf
│   │   │   │   │   ├── 时序分析计算题_含答案.docx
│   │   │   │   │   ├── timing_design - 副本.pptx
│   │   │   │   │   ├── timing_design_story.pptx
│   │   │   │   │   ├── wp-01082-quartus-ii-metastability.pdf
│   │   │   │   │   ├── 理解亚稳定性.pdf
│   │   │   │   │   ├── STA的公式.docx
│   │   │   │   │   ├── 亚稳定问题.docx
│   │   │   │   │   ├── timing_design.pptx
│   │   │   │   │   ├── 约会谜题.JPG
│   │   │   │   ├── timing_desige_example
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── gate_work
│   │   │   │   │   │   │   │   ├── timing_desige_example
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── timing_desige_example_tb
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── lpm_compare0
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── lpm_add_sub0
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── lpm_constant_k
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── lpm_constant_c
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── lpm_mult0
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── timing_desige_example_tb
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── timing_desige_example
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── lpm_constant_b
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   ├── timing_desige_example_run_msim_gate_systemverilog.do
│   │   │   │   │   │   │   ├── timing_desige_example.svo
│   │   │   │   │   │   │   ├── timing_desige_example_8_1200mv_0c_slow.svo
│   │   │   │   │   │   │   ├── timing_desige_example_8_1200mv_85c_slow.svo
│   │   │   │   │   │   │   ├── timing_desige_example_modelsim.xrf
│   │   │   │   │   │   │   ├── timing_desige_example_min_1200mv_0c_v_fast.sdo
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── timing_desige_example_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── timing_desige_example_8_1200mv_85c_v_slow.sdo_typ.csd
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── timing_desige_example_8_1200mv_85c_v_slow.sdo
│   │   │   │   │   │   │   ├── timing_desige_example.sft
│   │   │   │   │   │   │   ├── timing_desige_example_8_1200mv_0c_v_slow.sdo
│   │   │   │   │   │   │   ├── timing_desige_example_min_1200mv_0c_fast.svo
│   │   │   │   │   │   │   ├── timing_desige_example_v.sdo
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── timing_desige_example.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── timing_desige_example.root_partition.map.hdb
│   │   │   │   │   │   │   ├── timing_desige_example.root_partition.cmp.hdb
│   │   │   │   │   │   │   ├── timing_desige_example.root_partition.cmp.rcfdb
│   │   │   │   │   │   │   ├── timing_desige_example.root_partition.cmp.cdb
│   │   │   │   │   │   │   ├── timing_desige_example.root_partition.map.dpi
│   │   │   │   │   │   │   ├── timing_desige_example.root_partition.cmp.dfp
│   │   │   │   │   │   │   ├── timing_desige_example.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── timing_desige_example.root_partition.cmp.kpt
│   │   │   │   │   │   │   ├── timing_desige_example.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── timing_desige_example.root_partition.map.kpt
│   │   │   │   │   │   │   ├── timing_desige_example.db_info
│   │   │   │   │   │   │   ├── timing_desige_example.root_partition.map.cdb
│   │   │   │   │   │   │   ├── timing_desige_example.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── timing_desige_example.root_partition.cmp.logdb
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── timing_desige_example.cmp.kpt
│   │   │   │   │   │   ├── timing_desige_example.(13).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(25).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(0).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.fit.qmsg
│   │   │   │   │   │   ├── timing_desige_example.(9).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── timing_desige_example.(36).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.lpc.html
│   │   │   │   │   │   ├── timing_desige_example.(26).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.lpc.txt
│   │   │   │   │   │   ├── timing_desige_example.(11).cnf.cdb
│   │   │   │   │   │   ├── lpm_constant_tf6.tdf
│   │   │   │   │   │   ├── timing_desige_example.cmp.hdb
│   │   │   │   │   │   ├── timing_desige_example.(9).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.tiscmp.slow_1200mv_85c.ddb
│   │   │   │   │   │   ├── timing_desige_example.(21).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.pre_map.hdb
│   │   │   │   │   │   ├── add_sub_lgh.tdf
│   │   │   │   │   │   ├── timing_desige_example.map.cdb
│   │   │   │   │   │   ├── add_sub_5oj.tdf
│   │   │   │   │   │   ├── mult_scn.tdf
│   │   │   │   │   │   ├── timing_desige_example.map.logdb
│   │   │   │   │   │   ├── timing_desige_example.(10).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(28).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(14).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(15).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.map.qmsg
│   │   │   │   │   │   ├── timing_desige_example.tiscmp.fastest_slow_1200mv_85c.ddb
│   │   │   │   │   │   ├── cmpr_doi.tdf
│   │   │   │   │   │   ├── add_sub_dqh.tdf
│   │   │   │   │   │   ├── timing_desige_example.(28).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.tiscmp.fastest_slow_1200mv_0c.ddb
│   │   │   │   │   │   ├── timing_desige_example.asm.rdb
│   │   │   │   │   │   ├── timing_desige_example.asm_labs.ddb
│   │   │   │   │   │   ├── timing_desige_example.(4).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.sgdiff.cdb
│   │   │   │   │   │   ├── timing_desige_example.(5).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.tmw_info
│   │   │   │   │   │   ├── timing_desige_example.cycloneive_io_sim_cache.45um_ss_1200mv_85c_slow.hsd
│   │   │   │   │   │   ├── timing_desige_example.(30).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(12).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(23).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(37).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(15).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.cmp.rdb
│   │   │   │   │   │   ├── timing_desige_example.(26).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(16).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(14).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.amm.cdb
│   │   │   │   │   │   ├── timing_desige_example.(4).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(20).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.tiscmp.fast_1200mv_0c.ddb
│   │   │   │   │   │   ├── timing_desige_example.(6).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.smart_action.txt
│   │   │   │   │   │   ├── timing_desige_example.(27).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── timing_desige_example.map.kpt
│   │   │   │   │   │   ├── timing_desige_example.asm.qmsg
│   │   │   │   │   │   ├── timing_desige_example.(34).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(1).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(10).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.sta_cmp.8_slow_1200mv_85c.tdb
│   │   │   │   │   │   ├── timing_desige_example.(19).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(11).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(1).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(29).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(7).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(30).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(36).cnf.hdb
│   │   │   │   │   │   ├── cmpr_lqg.tdf
│   │   │   │   │   │   ├── timing_desige_example.cycloneive_io_sim_cache.45um_ss_1200mv_0c_slow.hsd
│   │   │   │   │   │   ├── timing_desige_example.map.hdb
│   │   │   │   │   │   ├── timing_desige_example.sta.rdb
│   │   │   │   │   │   ├── timing_desige_example.(3).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.eda.qmsg
│   │   │   │   │   │   ├── timing_desige_example.(18).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.syn_hier_info
│   │   │   │   │   │   ├── timing_desige_example.cmp_merge.kpt
│   │   │   │   │   │   ├── lpm_constant_vl6.tdf
│   │   │   │   │   │   ├── timing_desige_example.(5).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(23).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(25).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(18).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.tiscmp.slow_1200mv_0c.ddb
│   │   │   │   │   │   ├── timing_desige_example.idb.cdb
│   │   │   │   │   │   ├── timing_desige_example.(8).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(2).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.cmp.bpm
│   │   │   │   │   │   ├── timing_desige_example.(7).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.map.bpm
│   │   │   │   │   │   ├── timing_desige_example.(31).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
│   │   │   │   │   │   ├── timing_desige_example.(24).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(3).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(27).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(6).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.hier_info
│   │   │   │   │   │   ├── timing_desige_example.(0).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(37).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(22).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(35).cnf.hdb
│   │   │   │   │   │   ├── lpm_constant_ok6.tdf
│   │   │   │   │   │   ├── timing_desige_example.(22).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(8).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(17).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(34).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.sld_design_entry.sci
│   │   │   │   │   │   ├── timing_desige_example.(33).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.sta.qmsg
│   │   │   │   │   │   ├── prev_cmp_timing_desige_example.qmsg
│   │   │   │   │   │   ├── timing_desige_example.(32).cnf.cdb
│   │   │   │   │   │   ├── cmpr_lel.tdf
│   │   │   │   │   │   ├── timing_desige_example.(20).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.hif
│   │   │   │   │   │   ├── timing_desige_example.tis_db_list.ddb
│   │   │   │   │   │   ├── timing_desige_example.(12).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(13).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(31).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(16).cnf.hdb
│   │   │   │   │   │   ├── altpll0_altpll.v
│   │   │   │   │   │   ├── timing_desige_example.(35).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(29).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(2).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(24).cnf.cdb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── timing_desige_example.sgdiff.hdb
│   │   │   │   │   │   ├── timing_desige_example.map_bb.hdb
│   │   │   │   │   │   ├── timing_desige_example.pre_map.cdb
│   │   │   │   │   │   ├── timing_desige_example.lpc.rdb
│   │   │   │   │   │   ├── mult_kap.tdf
│   │   │   │   │   │   ├── timing_desige_example.(19).cnf.hdb
│   │   │   │   │   │   ├── timing_desige_example.(33).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.rtlv.hdb
│   │   │   │   │   │   ├── timing_desige_example.cbx.xml
│   │   │   │   │   │   ├── timing_desige_example.map_bb.logdb
│   │   │   │   │   │   ├── timing_desige_example.(21).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.rtlv_sg.cdb
│   │   │   │   │   │   ├── timing_desige_example.cmp.logdb
│   │   │   │   │   │   ├── add_sub_qrj.tdf
│   │   │   │   │   │   ├── timing_desige_example.db_info
│   │   │   │   │   │   ├── timing_desige_example.cmp.cdb
│   │   │   │   │   │   ├── timing_desige_example.map_bb.cdb
│   │   │   │   │   │   ├── timing_desige_example.(17).cnf.cdb
│   │   │   │   │   │   ├── timing_desige_example.(32).cnf.hdb
│   │   │   │   │   ├── greybox_tmp
│   │   │   │   │   │   ├── greybox_tmp
│   │   │   │   │   │   ├── cbx_args.txt
│   │   │   │   │   ├── lpm_add_sub0_bb.v
│   │   │   │   │   ├── reg1.bsf
│   │   │   │   │   ├── lpm_constant_k.v
│   │   │   │   │   ├── timing_desige_example.asm.rpt
│   │   │   │   │   ├── altpll0.qip
│   │   │   │   │   ├── timing_desige_example.eda.rpt
│   │   │   │   │   ├── PLLJ_PLLSPE_INFO.txt
│   │   │   │   │   ├── timing_desige_example.bdf
│   │   │   │   │   ├── lpm_constant_b.v
│   │   │   │   │   ├── timing_desige_example.sta.rpt
│   │   │   │   │   ├── lpm_compare0_bb.v
│   │   │   │   │   ├── reg8.v
│   │   │   │   │   ├── reg1.v
│   │   │   │   │   ├── timing_desige_example.sdc
│   │   │   │   │   ├── timing_desige_example_tb.v
│   │   │   │   │   ├── altpll0_bb.v
│   │   │   │   │   ├── timing_desige_example.qsf
│   │   │   │   │   ├── altpll0.ppf
│   │   │   │   │   ├── timing_desige_example.sta.summary
│   │   │   │   │   ├── lpm_constant_k.qip
│   │   │   │   │   ├── lpm_constant_c.bsf
│   │   │   │   │   ├── lpm_constant_c.qip
│   │   │   │   │   ├── lpm_constant_b.qip
│   │   │   │   │   ├── lpm_mult0.v
│   │   │   │   │   ├── timing_desige_example_tb.v.bak
│   │   │   │   │   ├── lpm_add_sub0.qip
│   │   │   │   │   ├── lpm_constant_c.v
│   │   │   │   │   ├── lpm_constant_b_bb.v
│   │   │   │   │   ├── lpm_compare0.v
│   │   │   │   │   ├── lpm_constant_c_bb.v
│   │   │   │   │   ├── lpm_mult0.bsf
│   │   │   │   │   ├── lpm_compare0.qip
│   │   │   │   │   ├── timing_desige_example.map.rpt
│   │   │   │   │   ├── altpll0.bsf
│   │   │   │   │   ├── altpll0.v
│   │   │   │   │   ├── timing_desige_example.sof
│   │   │   │   │   ├── lpm_constant_k.bsf
│   │   │   │   │   ├── reg8.v.bak
│   │   │   │   │   ├── lpm_mult0_bb.v
│   │   │   │   │   ├── timing_desige_example.qpf
│   │   │   │   │   ├── lpm_add_sub0.v
│   │   │   │   │   ├── reg1.v.bak
│   │   │   │   │   ├── lpm_compare0.bsf
│   │   │   │   │   ├── timing_desige_example.fit.rpt
│   │   │   │   │   ├── lpm_constant_b.bsf
│   │   │   │   │   ├── timing_desige_example.fit.summary
│   │   │   │   │   ├── timing_desige_example.v
│   │   │   │   │   ├── timing_desige_example_nativelink_simulation.rpt
│   │   │   │   │   ├── timing_desige_example.map.summary
│   │   │   │   │   ├── lpm_add_sub0.bsf
│   │   │   │   │   ├── timing_desige_example.pin
│   │   │   │   │   ├── lpm_mult0.qip
│   │   │   │   │   ├── lpm_constant_k_bb.v
│   │   │   │   │   ├── reg8.bsf
│   │   │   │   │   ├── timing_desige_example.flow.rpt
│   │   │   │   │   ├── timing_desige_example.done
│   │   │   │   ├── 习题.docx
│   │   ├── 0825
│   │   │   ├── 20200825
│   │   │   │   ├── 武汉内训08025.pdf
│   │   │   │   ├── 新建文本文档.txt
│   │   │   │   ├── 20200825.rar
│   │   │   ├── 流水线和超前进位链.mp4
│   │   ├── 0708
│   │   │   ├── 视频_0708
│   │   │   │   ├── 0708155158.avi
│   │   │   │   ├── 0708090507.avi
│   │   │   │   ├── 0708132752.avi
│   │   │   │   ├── 0708091434.avi
│   │   │   │   ├── 0708091417.avi
│   │   │   │   ├── 0708091501.avi
│   │   │   ├── 代码资料_200708
│   │   │   │   ├── divider
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── divider.map.hdb
│   │   │   │   │   │   ├── divider.lpc.txt
│   │   │   │   │   │   ├── divider.map.bpm
│   │   │   │   │   │   ├── divider.map_bb.hdb
│   │   │   │   │   │   ├── divider.lpc.html
│   │   │   │   │   │   ├── divider.hier_info
│   │   │   │   │   │   ├── divider.map.rdb
│   │   │   │   │   │   ├── divider.tis_db_list.ddb
│   │   │   │   │   │   ├── divider.map.qmsg
│   │   │   │   │   │   ├── divider.hif
│   │   │   │   │   │   ├── divider.sld_design_entry.sci
│   │   │   │   │   │   ├── divider.map_bb.logdb
│   │   │   │   │   │   ├── divider.map.logdb
│   │   │   │   │   │   ├── divider.cmp_merge.kpt
│   │   │   │   │   │   ├── divider.ipinfo
│   │   │   │   │   │   ├── divider.pre_map.hdb
│   │   │   │   │   │   ├── divider.map.ammdb
│   │   │   │   │   │   ├── divider.(0).cnf.cdb
│   │   │   │   │   │   ├── divider.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── divider.pti_db_list.ddb
│   │   │   │   │   │   ├── divider.syn_hier_info
│   │   │   │   │   │   ├── divider.map.kpt
│   │   │   │   │   │   ├── divider.rtlv.hdb
│   │   │   │   │   │   ├── divider.rtlv_sg.cdb
│   │   │   │   │   │   ├── divider.tmw_info
│   │   │   │   │   │   ├── divider.cbx.xml
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── divider.smart_action.txt
│   │   │   │   │   │   ├── divider.map_bb.cdb
│   │   │   │   │   │   ├── divider.lpc.rdb
│   │   │   │   │   │   ├── divider.sgdiff.hdb
│   │   │   │   │   │   ├── divider.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── divider.map.cdb
│   │   │   │   │   │   ├── divider.db_info
│   │   │   │   │   │   ├── divider.root_partition.map.reg_db.cdb
│   │   │   │   │   │   ├── divider.cmp.rdb
│   │   │   │   │   │   ├── divider.sgdiff.cdb
│   │   │   │   │   │   ├── divider.smp_dump.txt
│   │   │   │   │   │   ├── divider.(0).cnf.hdb
│   │   │   │   │   │   ├── prev_cmp_divider.qmsg
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── divider_mealy_1s_ebd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── divider_mealy_1s_ebd_tb
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── wave.do
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── divider_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   ├── output_files
│   │   │   │   │   │   ├── divider.flow.rpt
│   │   │   │   │   │   ├── divider.map.summary
│   │   │   │   │   │   ├── divider.done
│   │   │   │   │   │   ├── divider.map.rpt
│   │   │   │   │   ├── doc
│   │   │   │   │   │   ├── 分频器设计报告.docx
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── divider.db_info
│   │   │   │   │   │   │   ├── divider.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── divider.root_partition.map.dpi
│   │   │   │   │   │   │   ├── divider.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── divider.root_partition.map.hdb
│   │   │   │   │   │   │   ├── divider.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── divider.root_partition.map.cdb
│   │   │   │   │   │   │   ├── divider.root_partition.map.kpt
│   │   │   │   │   │   │   ├── divider.root_partition.map.hbdb.hdb
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── divider_mealy_1s_ebd_tb.v.bak
│   │   │   │   │   ├── divider.qpf
│   │   │   │   │   ├── divider_mealy_1s_ebd_tb.v
│   │   │   │   │   ├── divider_mealy_1s_ebd.v.bak
│   │   │   │   │   ├── divider_mealy_1s_ebd.v
│   │   │   │   │   ├── divider.qws
│   │   │   │   │   ├── divider_nativelink_simulation.rpt
│   │   │   │   │   ├── divider.qsf
│   │   │   │   ├── day4_练习.ppt
│   │   │   │   ├── d3_3.ppt
│   │   │   │   ├── Verilog HDL高级数字设计(第2版)(英文版)_[M D.Ciletti 著][Prentice Hall][2010][984页].pdf
│   │   │   │   ├── AG_ZX规范.docx
│   │   │   │   ├── AG_ZX规范.txt
│   │   │   │   ├── fpga和嵌入式.pptx
│   │   │   │   ├── FPGA的发展与展望.pptx
│   │   │   │   ├── 习题.doc
│   │   ├── 20200924
│   │   │   ├── 造芯工程:RISC_WH2020.mp4
│   │   ├── 0628
│   │   │   ├── TLC549.PDF
│   │   │   ├── 0628090256.avi
│   │   ├── 20201009
│   │   │   ├── 20201009
│   │   │   │   ├── ms5_generator
│   │   │   │   │   ├── ms5_generator.sim
│   │   │   │   │   │   ├── sim_1
│   │   │   │   │   │   │   ├── behav
│   │   │   │   │   │   │   │   ├── msim
│   │   │   │   │   │   │   │   │   ├── xil_defaultlib
│   │   │   │   │   │   │   │   │   │   ├── _tempmsg
│   │   │   │   │   │   │   │   │   │   ├── @_opt
│   │   │   │   │   │   │   │   │   │   │   ├── _lib2_0.qpg
│   │   │   │   │   │   │   │   │   │   │   ├── _lib3_0.qdb
│   │   │   │   │   │   │   │   │   │   │   ├── _lib2_0.qdb
│   │   │   │   │   │   │   │   │   │   │   ├── _lib3_0.qpg
│   │   │   │   │   │   │   │   │   │   │   ├── _lib4_0.qpg
│   │   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qpg
│   │   │   │   │   │   │   │   │   │   │   ├── _lib.qdb
│   │   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qtl
│   │   │   │   │   │   │   │   │   │   │   ├── _lib4_0.qtl
│   │   │   │   │   │   │   │   │   │   │   ├── _lib2_0.qtl
│   │   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qdb
│   │   │   │   │   │   │   │   │   │   │   ├── _lib4_0.qdb
│   │   │   │   │   │   │   │   │   │   │   ├── _lib3_0.qtl
│   │   │   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qtl
│   │   │   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qdb
│   │   │   │   │   │   │   │   │   │   ├── _lib1_0.qpg
│   │   │   │   │   │   │   │   │   │   ├── _lib.qdb
│   │   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── work
│   │   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── compile.log
│   │   │   │   │   │   │   │   ├── ms5_generator_tb_simulate.do
│   │   │   │   │   │   │   │   ├── glbl.v
│   │   │   │   │   │   │   │   ├── ms5_generator_tb_compile.do
│   │   │   │   │   │   │   │   ├── ms5_generator_tb_wave.do
│   │   │   │   │   │   │   │   ├── compile.bat
│   │   │   │   │   │   │   │   ├── ms5_generator_tb.udo
│   │   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   │   ├── simulate.log
│   │   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   │   ├── simulate.bat
│   │   │   │   │   ├── ms5_generator.cache
│   │   │   │   │   │   ├── compile_simlib
│   │   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   ├── wt
│   │   │   │   │   │   │   ├── java_command_handlers.wdf
│   │   │   │   │   │   │   ├── project.wpc
│   │   │   │   │   │   │   ├── webtalk_pa.xml
│   │   │   │   │   ├── ms5_generator.hw
│   │   │   │   │   │   ├── ms5_generator.lpr
│   │   │   │   │   ├── ms5_generator.ip_user_files
│   │   │   │   │   │   ├── README.txt
│   │   │   │   │   ├── ms5_generator.srcs
│   │   │   │   │   │   ├── sim_1
│   │   │   │   │   │   │   ├── new
│   │   │   │   │   │   │   │   ├── ms5_generator_tb.sv
│   │   │   │   │   │   ├── sources_1
│   │   │   │   │   │   │   ├── new
│   │   │   │   │   │   │   │   ├── ms5_generator.sv
│   │   │   │   │   ├── ms5_generator.xpr
│   │   │   │   ├── dsss_ms5_st_transceiver
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver.root_partition.map.dpi
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver.root_partition.map.kpt
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver.root_partition.map.hdb
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver.root_partition.map.cdb
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver.db_info
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(9).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(65).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.lpc.rdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(50).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(20).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(6).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(5).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(47).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(42).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(40).cnf.cdb
│   │   │   │   │   │   ├── dpram_6711.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(32).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(24).cnf.hdb
│   │   │   │   │   │   ├── scfifo_fe61.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(86).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(13).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(7).cnf.cdb
│   │   │   │   │   │   ├── cntr_go7.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.lpc.html
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(80).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(23).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(73).cnf.hdb
│   │   │   │   │   │   ├── scfifo_dm31.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(18).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(66).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(3).cnf.cdb
│   │   │   │   │   │   ├── dpram_5711.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(45).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(69).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(70).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(59).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(58).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(90).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(13).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(0).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(92).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(81).cnf.hdb
│   │   │   │   │   │   ├── prev_cmp_dsss_ms5_st_transceiver.qmsg
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(5).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.map_bb.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(81).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(29).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(61).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(82).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(64).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(91).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(8).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(89).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(76).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(73).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(56).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(28).cnf.cdb
│   │   │   │   │   │   ├── cntr_3ob.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(34).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(53).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(84).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(37).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.hier_info
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(48).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.map.bpm
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(16).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(55).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(30).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(0).cnf.hdb
│   │   │   │   │   │   ├── altsyncram_r0k1.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(21).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(84).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(12).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.syn_hier_info
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(44).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(61).cnf.cdb
│   │   │   │   │   │   ├── altsquare_8ne.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(71).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(78).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(41).cnf.cdb
│   │   │   │   │   │   ├── a_dpfifo_sd31.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(6).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(33).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(68).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.sld_design_entry.sci
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.cmp.rdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(54).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(1).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(74).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.smp_dump.txt
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(87).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(48).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(69).cnf.hdb
│   │   │   │   │   │   ├── scfifo_5431.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(50).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.cmp_merge.kpt
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(39).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.sgdiff.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(68).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(72).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(37).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.map_bb.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.pre_map.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(27).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(26).cnf.cdb
│   │   │   │   │   │   ├── a_dpfifo_ca31.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(52).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(22).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(27).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(10).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(51).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(63).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(20).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(15).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(91).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(54).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(62).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.hif
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(59).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(23).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(83).cnf.cdb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.tis_db_list.ddb
│   │   │   │   │   │   ├── a_fefifo_18e.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(85).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(1).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(39).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(43).cnf.cdb
│   │   │   │   │   │   ├── a_fefifo_s7f.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(62).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(25).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.lpc.txt
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(55).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(52).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(38).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(2).cnf.hdb
│   │   │   │   │   │   ├── dpram_4711.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(35).cnf.cdb
│   │   │   │   │   │   ├── cntr_4ob.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(10).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(92).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(60).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(58).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(60).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(82).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(33).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(76).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(30).cnf.hdb
│   │   │   │   │   │   ├── scfifo_7l21.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.rtlv.hdb
│   │   │   │   │   │   ├── cntr_fo7.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(86).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(19).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(38).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.sgdiff.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(88).cnf.cdb
│   │   │   │   │   │   ├── scfifo_ge61.tdf
│   │   │   │   │   │   ├── a_dpfifo_td31.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(47).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(78).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.db_info
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(35).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.map.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(49).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(25).cnf.cdb
│   │   │   │   │   │   ├── a_dpfifo_er21.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(40).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(36).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(36).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(89).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(16).cnf.hdb
│   │   │   │   │   │   ├── altsyncram_s0k1.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(3).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(32).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(79).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(83).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(11).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(28).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(65).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(75).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(85).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.map.logdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(77).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(2).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(64).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(79).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(31).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(53).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(29).cnf.cdb
│   │   │   │   │   │   ├── a_fefifo_08f.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.map.cdb
│   │   │   │   │   │   ├── altsyncram_q0k1.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(57).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.map_bb.logdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(4).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(88).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(80).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(18).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(44).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.rtlv_sg.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.map.qmsg
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(57).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(15).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(45).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(63).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(8).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(49).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(19).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(66).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(51).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(46).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(4).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(67).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(14).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(72).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.pre_map.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.cbx.xml
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(21).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(14).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(70).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(12).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(42).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(17).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(77).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(75).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(24).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(67).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(31).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(17).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.map.kpt
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(43).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(11).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(9).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(7).cnf.hdb
│   │   │   │   │   │   ├── a_dpfifo_ks31.tdf
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(87).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.smart_action.txt
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(26).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(34).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(22).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(71).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(90).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(46).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(74).cnf.cdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(41).cnf.hdb
│   │   │   │   │   │   ├── dsss_ms5_st_transceiver.(56).cnf.cdb
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── ms5_genertor
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── base_freq_syn
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── tb_ip
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── quantizer
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── ham74_decoder
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── rec_buffer
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── trs_buffer
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── dsss_ms5_st_transmitter
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── ham_enocder
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── ham_decoder
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── dsss_ms5_st_abv
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── he_fifo
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── noise_source
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── adjudicator
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── scoreboard
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── spreading
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── rb_ip
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── bfs_squ
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── bfs_fsm
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── deserializer
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── dsss_ms5_st_receiver
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── difference_square
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── serializer
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── bfs_cnt
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── base_gen
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── rec_base_gen
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── des_fsm
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── stimulator
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── frame_syn
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── he_fifo_ip
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── ds_acc
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── bs_get
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── des_coder
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── ham74
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver_run_msim_rtl_verilog.do.bak2
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver_run_msim_rtl_verilog.do.bak8
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── abv_wave.do
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver_run_msim_rtl_verilog.do.bak11
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver_run_msim_rtl_verilog.do.bak1
│   │   │   │   │   │   │   ├── wave_transmitter.do
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver_run_msim_rtl_verilog.do.bak
│   │   │   │   │   │   │   ├── top_wave.do
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver_run_msim_rtl_verilog.do.bak4
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver_run_msim_rtl_verilog.do.bak7
│   │   │   │   │   │   │   ├── bfs_wave.do
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver_run_msim_rtl_verilog.do.bak6
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver_run_msim_rtl_verilog.do.bak5
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver_run_msim_rtl_verilog.do.bak10
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver_run_msim_rtl_verilog.do.bak9
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── wave.do
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── dsss_ms5_st_transceiver_run_msim_rtl_verilog.do.bak3
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   ├── greybox_tmp
│   │   │   │   │   │   ├── cbx_args.txt
│   │   │   │   │   ├── he_fifo_ip.qip
│   │   │   │   │   ├── bfs_head.v.bak
│   │   │   │   │   ├── base_gen.v
│   │   │   │   │   ├── base_gen_tb.v
│   │   │   │   │   ├── dsss_ms5_st_transceiver_tb.v.bak
│   │   │   │   │   ├── des_fsm.v
│   │   │   │   │   ├── adjudicator.v
│   │   │   │   │   ├── com_res.v
│   │   │   │   │   ├── dsss_ms5_st_transceiver.map.smsg
│   │   │   │   │   ├── noise_source.v.bak
│   │   │   │   │   ├── trs_buffer.v
│   │   │   │   │   ├── dsss_ms5_st_receiver.v
│   │   │   │   │   ├── rb_ip_bb.v
│   │   │   │   │   ├── ms5_genertor_tb.v
│   │   │   │   │   ├── bs_get.v
│   │   │   │   │   ├── ds_acc.v
│   │   │   │   │   ├── des_coder.v
│   │   │   │   │   ├── he_fifo_ip.v
│   │   │   │   │   ├── syn_gen.v.bak
│   │   │   │   │   ├── stimulator.v.bak
│   │   │   │   │   ├── dsss_ms5_st_tb.v.bak
│   │   │   │   │   ├── ms5_genertor.v
│   │   │   │   │   ├── dsss_ms5_st_transceiver.flow.rpt
│   │   │   │   │   ├── bfs_cnt_tb.v
│   │   │   │   │   ├── dsss_ms5_st_transceiver_nativelink_simulation.rpt
│   │   │   │   │   ├── bfs_head.vh.bak
│   │   │   │   │   ├── he_fifo.v
│   │   │   │   │   ├── ham74.v.bak
│   │   │   │   │   ├── dsss_ms5_st_transceiver_tb.v
│   │   │   │   │   ├── deserializer.v
│   │   │   │   │   ├── ham_enocder.v
│   │   │   │   │   ├── bfs_lsm.v.bak
│   │   │   │   │   ├── bfs_fsm.v
│   │   │   │   │   ├── bfs_head.v
│   │   │   │   │   ├── noise_source.v
│   │   │   │   │   ├── bfs_cnt_tb.v.bak
│   │   │   │   │   ├── rec_base_gen.v.bak
│   │   │   │   │   ├── tb_ip.qip
│   │   │   │   │   ├── dsss_ms5_st_transmitter.v.bak
│   │   │   │   │   ├── dsss_ms5_st_transmitter.v
│   │   │   │   │   ├── dsss_ms5_st_transceiver_head.v
│   │   │   │   │   ├── spreading.v
│   │   │   │   │   ├── tb_ip_bb.v
│   │   │   │   │   ├── ham_enocder.v.bak
│   │   │   │   │   ├── serializer.v
│   │   │   │   │   ├── bs_get.v.bak
│   │   │   │   │   ├── ms5_genertor.v.bak
│   │   │   │   │   ├── trs_buffer.v.bak
│   │   │   │   │   ├── dsss_ms5_st_transceiver.v
│   │   │   │   │   ├── quantizer.v
│   │   │   │   │   ├── scoreboard.v
│   │   │   │   │   ├── dsss_ms5_st_transmitter_tb.v.bak
│   │   │   │   │   ├── adjudicator.v.bak
│   │   │   │   │   ├── des_fsm.v.bak
│   │   │   │   │   ├── dsss_ms5_st_receiver.v.bak
│   │   │   │   │   ├── ham74_decoder.v
│   │   │   │   │   ├── dsss_ms5_st_transceiver.done
│   │   │   │   │   ├── spreading.v.bak
│   │   │   │   │   ├── ms5_genertor_tb.v.bak
│   │   │   │   │   ├── bfs_fsm.v.bak
│   │   │   │   │   ├── bfs_squ.qip
│   │   │   │   │   ├── dsss_ms5_st_transceiver_head.v.bak
│   │   │   │   │   ├── frame_syn.v
│   │   │   │   │   ├── dsss_ms5_st_transceiver.v.bak
│   │   │   │   │   ├── rec_base_gen.v
│   │   │   │   │   ├── rec_buffer.v
│   │   │   │   │   ├── bfs_squ_bb.v
│   │   │   │   │   ├── dsss_ms5_st_transceiver.map.summary
│   │   │   │   │   ├── rec_buffer.v.bak
│   │   │   │   │   ├── difference_square.v
│   │   │   │   │   ├── dsss_ms5_st_tb.v
│   │   │   │   │   ├── rb_ip.v
│   │   │   │   │   ├── serializer_tb.v
│   │   │   │   │   ├── ham_decoder.v.bak
│   │   │   │   │   ├── rb_ip.qip
│   │   │   │   │   ├── ham74_decoder.v.bak
│   │   │   │   │   ├── bfs_cnt.v.bak
│   │   │   │   │   ├── serializer_tb.v.bak
│   │   │   │   │   ├── dsss_ms5_st_transmitter_tb.v
│   │   │   │   │   ├── base_freq_syn.v.bak
│   │   │   │   │   ├── base_gen_tb.v.bak
│   │   │   │   │   ├── syn_gen.v
│   │   │   │   │   ├── stimulator.v
│   │   │   │   │   ├── deserializer.v.bak
│   │   │   │   │   ├── base_freq_syn.v
│   │   │   │   │   ├── bfs_squ.v
│   │   │   │   │   ├── com_res.v.bak
│   │   │   │   │   ├── frame_syn.v.bak
│   │   │   │   │   ├── scoreboard.v.bak
│   │   │   │   │   ├── difference_square.v.bak
│   │   │   │   │   ├── base_gen.v.bak
│   │   │   │   │   ├── tb_ip.v
│   │   │   │   │   ├── he_fifo.v.bak
│   │   │   │   │   ├── ham_decoder.v
│   │   │   │   │   ├── serializer.v.bak
│   │   │   │   │   ├── bfs_cnt.v
│   │   │   │   │   ├── bfs_head.vh
│   │   │   │   │   ├── he_fifo_ip_bb.v
│   │   │   │   │   ├── dsss_ms5_st_abv.v
│   │   │   │   │   ├── dsss_ms5_st_transceiver.qpf
│   │   │   │   │   ├── dsss_ms5_st_abv.v.bak
│   │   │   │   │   ├── bfs_lsm.v
│   │   │   │   │   ├── ds_acc.v.bak
│   │   │   │   │   ├── dsss_ms5_st_transceiver.qsf
│   │   │   │   │   ├── ham74.v
│   │   │   │   │   ├── dsss_ms5_st_transceiver.map.rpt
│   │   │   │   │   ├── des_coder.v.bak
│   │   │   │   │   ├── quantizer.v.bak
│   │   │   │   ├── dsss_ms5_st_transceiver.rar
│   │   │   │   ├── EDA课程II1009.pdf
│   │   │   │   ├── EDA课程II.docx
│   │   │   ├── 扩频通信和CDMA.mp4
│   │   ├── 20201027
│   │   │   ├── 北京至芯2007就业班课程.mp4
│   │   ├── 0701
│   │   │   ├── 0701090654.avi
│   │   ├── 0527
│   │   │   ├── 0527091154.avi
│   │   │   ├── 0527133618.avi
│   │   │   ├── 0527.zip
│   │   │   ├── 0527101610.avi
│   │   ├── 0604
│   │   │   ├── 0604.zip
│   │   │   ├── 0604090355.avi
│   │   │   ├── 0604103619.avi
│   │   ├── 0820
│   │   │   ├── 20200820
│   │   │   │   ├── 练习题(2).docx
│   │   │   │   ├── 武汉内训08020.pdf
│   │   │   │   ├── practice.vsdx
│   │   │   │   ├── distancecontroller1.rar
│   │   │   │   ├── Verilog HDL高级数字设计(第2版)(英文版)_[M D.Ciletti 著][Prentice Hall][2010][984页].pdf
│   │   │   │   ├── 练习题819.docx
│   │   │   ├── 0820_流水线Pipeline.mp4
│   │   ├── 20200910
│   │   │   ├── EDA理论课程总结.mp4
│   │   ├── 0511
│   │   │   ├── judge
│   │   │   │   ├── prj
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── judge.db_info
│   │   │   │   │   │   │   ├── judge.root_partition.map.dpi
│   │   │   │   │   │   │   ├── judge.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── judge.root_partition.map.hdb
│   │   │   │   │   │   │   ├── judge.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── judge.root_partition.map.kpt
│   │   │   │   │   │   │   ├── judge.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── judge.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── judge.root_partition.map.cdb
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── judge.sgdiff.hdb
│   │   │   │   │   │   ├── judge.sgdiff.cdb
│   │   │   │   │   │   ├── judge.hier_info
│   │   │   │   │   │   ├── judge.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── judge.map.hdb
│   │   │   │   │   │   ├── judge.cbx.xml
│   │   │   │   │   │   ├── judge.map.bpm
│   │   │   │   │   │   ├── judge.map.kpt
│   │   │   │   │   │   ├── judge.lpc.rdb
│   │   │   │   │   │   ├── judge.cmp.rdb
│   │   │   │   │   │   ├── judge.cmp_merge.kpt
│   │   │   │   │   │   ├── judge.sld_design_entry.sci
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── judge.map.qmsg
│   │   │   │   │   │   ├── judge.rtlv_sg.cdb
│   │   │   │   │   │   ├── judge.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── judge.rtlv.hdb
│   │   │   │   │   │   ├── judge.sgate_sm.rvd
│   │   │   │   │   │   ├── judge.root_partition.map.reg_db.cdb
│   │   │   │   │   │   ├── judge.map.logdb
│   │   │   │   │   │   ├── judge.(0).cnf.hdb
│   │   │   │   │   │   ├── judge.syn_hier_info
│   │   │   │   │   │   ├── judge.map_bb.logdb
│   │   │   │   │   │   ├── judge.pti_db_list.ddb
│   │   │   │   │   │   ├── judge.db_info
│   │   │   │   │   │   ├── judge.tis_db_list.ddb
│   │   │   │   │   │   ├── judge.map_bb.cdb
│   │   │   │   │   │   ├── judge.hif
│   │   │   │   │   │   ├── judge.map.rdb
│   │   │   │   │   │   ├── judge.map.cdb
│   │   │   │   │   │   ├── judge.ipinfo
│   │   │   │   │   │   ├── judge.rpp.qmsg
│   │   │   │   │   │   ├── judge.smart_action.txt
│   │   │   │   │   │   ├── judge.lpc.txt
│   │   │   │   │   │   ├── judge.(0).cnf.cdb
│   │   │   │   │   │   ├── judge.map.ammdb
│   │   │   │   │   │   ├── judge.map_bb.hdb
│   │   │   │   │   │   ├── judge.lpc.html
│   │   │   │   │   │   ├── judge.sgate.rvd
│   │   │   │   │   │   ├── judge.pre_map.hdb
│   │   │   │   │   ├── output_files
│   │   │   │   │   │   ├── judge.flow.rpt
│   │   │   │   │   │   ├── judge.done
│   │   │   │   │   │   ├── judge.map.summary
│   │   │   │   │   │   ├── judge.map.rpt
│   │   │   │   │   ├── judge.qpf
│   │   │   │   │   ├── judge.qws
│   │   │   │   │   ├── judge.qsf
│   │   │   │   ├── src
│   │   │   │   │   ├── judge.v
│   │   │   │   │   ├── judge.v.bak
│   │   │   │   ├── sim
│   │   │   │   ├── doc
│   │   │   │   │   ├── judge.docx
│   │   │   ├── nurse
│   │   │   │   ├── sim
│   │   │   │   │   ├── nurse_v2_tb.v
│   │   │   │   │   ├── nurse_v2_tb.v.bak
│   │   │   │   │   ├── nurse_tb.v.bak
│   │   │   │   │   ├── nurse_tb.v
│   │   │   │   ├── src
│   │   │   │   │   ├── nurse.v.bak
│   │   │   │   │   ├── nurse_v2.v
│   │   │   │   │   ├── nurse_v2.v.bak
│   │   │   │   │   ├── nurse.v
│   │   │   │   ├── prj
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── nurse.root_partition.map.hdb
│   │   │   │   │   │   │   ├── nurse.root_partition.map.cdb
│   │   │   │   │   │   │   ├── nurse.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── nurse.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── nurse.root_partition.map.dpi
│   │   │   │   │   │   │   ├── nurse.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── nurse.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── nurse.root_partition.map.kpt
│   │   │   │   │   │   │   ├── nurse.db_info
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── nurse.map_bb.hdb
│   │   │   │   │   │   ├── nurse.(0).cnf.cdb
│   │   │   │   │   │   ├── nurse.lpc.rdb
│   │   │   │   │   │   ├── nurse.lpc.txt
│   │   │   │   │   │   ├── nurse.pre_map.hdb
│   │   │   │   │   │   ├── nurse.cmp_merge.kpt
│   │   │   │   │   │   ├── nurse.pti_db_list.ddb
│   │   │   │   │   │   ├── nurse.syn_hier_info
│   │   │   │   │   │   ├── nurse.lpc.html
│   │   │   │   │   │   ├── nurse.map.logdb
│   │   │   │   │   │   ├── nurse.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── nurse.ipinfo
│   │   │   │   │   │   ├── nurse.tis_db_list.ddb
│   │   │   │   │   │   ├── nurse.sgdiff.hdb
│   │   │   │   │   │   ├── nurse.cbx.xml
│   │   │   │   │   │   ├── nurse.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── nurse.sld_design_entry.sci
│   │   │   │   │   │   ├── nurse.rtlv.hdb
│   │   │   │   │   │   ├── nurse.db_info
│   │   │   │   │   │   ├── nurse.map_bb.logdb
│   │   │   │   │   │   ├── nurse.map.bpm
│   │   │   │   │   │   ├── nurse.map.cdb
│   │   │   │   │   │   ├── nurse.(0).cnf.hdb
│   │   │   │   │   │   ├── nurse.root_partition.map.reg_db.cdb
│   │   │   │   │   │   ├── nurse.smart_action.txt
│   │   │   │   │   │   ├── nurse.map_bb.cdb
│   │   │   │   │   │   ├── nurse.map.kpt
│   │   │   │   │   │   ├── nurse.cmp.rdb
│   │   │   │   │   │   ├── nurse.sgdiff.cdb
│   │   │   │   │   │   ├── nurse.map.ammdb
│   │   │   │   │   │   ├── nurse.map.hdb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── nurse.hier_info
│   │   │   │   │   │   ├── nurse.hif
│   │   │   │   │   │   ├── nurse.map.qmsg
│   │   │   │   │   │   ├── nurse.(1).cnf.cdb
│   │   │   │   │   │   ├── nurse.rtlv_sg.cdb
│   │   │   │   │   │   ├── nurse.(1).cnf.hdb
│   │   │   │   │   │   ├── nurse.map.rdb
│   │   │   │   │   │   ├── prev_cmp_nurse.qmsg
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── nurse_v2_tb
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── nurse_v2
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── nurse_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── nurse_run_msim_rtl_verilog.do.bak
│   │   │   │   │   ├── output_files
│   │   │   │   │   │   ├── nurse.done
│   │   │   │   │   │   ├── nurse.map.rpt
│   │   │   │   │   │   ├── nurse.map.summary
│   │   │   │   │   │   ├── nurse.flow.rpt
│   │   │   │   │   ├── nurse.qsf
│   │   │   │   │   ├── nurse.qws
│   │   │   │   │   ├── nurse_nativelink_simulation.rpt
│   │   │   │   │   ├── nurse.qpf
│   │   │   │   ├── doc
│   │   │   │   │   ├── nurse.docx
│   │   │   ├── 0511090351.avi
│   │   │   ├── 0511102536.avi
│   │   ├── 0728
│   │   │   ├── 20200728
│   │   │   │   ├── 条件编译语句.docx
│   │   │   │   ├── 003_lpm_seven_segment_tube(改版).rar
│   │   │   │   ├── 003_lpm_seven_segment_tube(原版).rar
│   │   │   │   ├── EDA课程.docx
│   │   │   │   ├── mult_adder_vh20.rar
│   │   │   │   ├── 练习题.docx
│   │   │   │   ├── example3_12.rar
│   │   │   ├── 视频_0728
│   │   │   │   ├── 0728.mp4
│   │   │   │   ├── 0728 (1).mp4
│   │   ├── 20201012
│   │   │   ├── FPGA与SDRAM技术 (1).mp4
│   │   ├── 0818
│   │   │   ├── 20200818
│   │   │   │   ├── 20200818.rar
│   │   │   ├── 静态时序分析.mp4
│   │   ├── 20201020
│   │   │   ├── 北京至芯2007届课程.mp4
│   │   ├── 20200926
│   │   │   ├── znqy.mp4
│   │   │   ├── znqy (1).mp4
│   │   ├── 0806
│   │   │   ├── 20200806
│   │   │   │   ├── 0806_share.rar
│   │   │   │   ├── 武汉内训0806.pdf
│   │   │   │   ├── 练习题.docx
│   │   │   │   ├── 20200806.rar
│   │   │   ├── 武汉内训课程:算法机和流程图2.mp4
│   │   │   ├── 武汉内训课程:算法机和流程图1.mp4
│   │   ├── 0531
│   │   │   ├── 0531.zip
│   │   │   ├── 0531090605.avi
│   │   │   ├── 0531101519.avi
│   │   ├── 20200916
│   │   │   ├── IIC控制器.mp4
│   │   ├── 0513
│   │   │   ├── encoder8_3
│   │   │   │   ├── doc
│   │   │   │   ├── src
│   │   │   │   │   ├── encoder8_3.v.bak
│   │   │   │   │   ├── encoder8_3_yx.v.bak
│   │   │   │   │   ├── encoder8_3.v
│   │   │   │   │   ├── encoder8_3_yx.v
│   │   │   │   ├── prj
│   │   │   │   │   ├── output_files
│   │   │   │   │   │   ├── encoder8_3.flow.rpt
│   │   │   │   │   │   ├── encoder8_3.map.summary
│   │   │   │   │   │   ├── encoder8_3.done
│   │   │   │   │   │   ├── encoder8_3.map.rpt
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── encoder8_3.tis_db_list.ddb
│   │   │   │   │   │   ├── encoder8_3.map_bb.hdb
│   │   │   │   │   │   ├── encoder8_3.syn_hier_info
│   │   │   │   │   │   ├── encoder8_3.(1).cnf.hdb
│   │   │   │   │   │   ├── encoder8_3.map.hdb
│   │   │   │   │   │   ├── encoder8_3.lpc.txt
│   │   │   │   │   │   ├── encoder8_3.ipinfo
│   │   │   │   │   │   ├── encoder8_3.rtlv_sg.cdb
│   │   │   │   │   │   ├── encoder8_3.lpc.rdb
│   │   │   │   │   │   ├── encoder8_3.smart_action.txt
│   │   │   │   │   │   ├── encoder8_3.(1).cnf.cdb
│   │   │   │   │   │   ├── encoder8_3.map_bb.logdb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── encoder8_3.hier_info
│   │   │   │   │   │   ├── encoder8_3.tmw_info
│   │   │   │   │   │   ├── encoder8_3.hif
│   │   │   │   │   │   ├── encoder8_3.(0).cnf.cdb
│   │   │   │   │   │   ├── encoder8_3.db_info
│   │   │   │   │   │   ├── encoder8_3.map.rdb
│   │   │   │   │   │   ├── encoder8_3.lpc.html
│   │   │   │   │   │   ├── encoder8_3.map.cdb
│   │   │   │   │   │   ├── encoder8_3.sld_design_entry.sci
│   │   │   │   │   │   ├── encoder8_3.rtlv.hdb
│   │   │   │   │   │   ├── encoder8_3.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── encoder8_3.(0).cnf.hdb
│   │   │   │   │   │   ├── encoder8_3.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── encoder8_3.root_partition.map.reg_db.cdb
│   │   │   │   │   │   ├── encoder8_3.pti_db_list.ddb
│   │   │   │   │   │   ├── prev_cmp_encoder8_3.qmsg
│   │   │   │   │   │   ├── encoder8_3.map.bpm
│   │   │   │   │   │   ├── encoder8_3.cbx.xml
│   │   │   │   │   │   ├── encoder8_3.map.logdb
│   │   │   │   │   │   ├── encoder8_3.cmp_merge.kpt
│   │   │   │   │   │   ├── encoder8_3.map.ammdb
│   │   │   │   │   │   ├── encoder8_3.pre_map.hdb
│   │   │   │   │   │   ├── encoder8_3.map.qmsg
│   │   │   │   │   │   ├── encoder8_3.sgdiff.hdb
│   │   │   │   │   │   ├── encoder8_3.map_bb.cdb
│   │   │   │   │   │   ├── encoder8_3.sgdiff.cdb
│   │   │   │   │   │   ├── encoder8_3.map.kpt
│   │   │   │   │   │   ├── encoder8_3.cmp.rdb
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── encoder8_3_yx_tb
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── encoder8_3_yx
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── encoder8_3_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── encoder8_3_run_msim_rtl_verilog.do.bak
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── encoder8_3.db_info
│   │   │   │   │   │   │   ├── encoder8_3.root_partition.map.hdb
│   │   │   │   │   │   │   ├── encoder8_3.root_partition.map.cdb
│   │   │   │   │   │   │   ├── encoder8_3.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── encoder8_3.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── encoder8_3.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── encoder8_3.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── encoder8_3.root_partition.map.kpt
│   │   │   │   │   │   │   ├── encoder8_3.root_partition.map.dpi
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── encoder8_3.qsf
│   │   │   │   │   ├── encoder8_3_nativelink_simulation.rpt
│   │   │   │   │   ├── encoder8_3.qpf
│   │   │   │   │   ├── encoder8_3.qws
│   │   │   │   ├── sim
│   │   │   │   │   ├── encoder8_3_tb.v.bak
│   │   │   │   │   ├── encoder8_3_yx_tb.v
│   │   │   │   │   ├── encoder8_3_tb.v
│   │   │   │   │   ├── encoder8_3_yx_tb.v.bak
│   │   │   ├── mux2
│   │   │   │   ├── src
│   │   │   │   │   ├── mux2.v.bak
│   │   │   │   │   ├── mux2.v
│   │   │   │   │   ├── mux4.v.bak
│   │   │   │   │   ├── mux4.v
│   │   │   │   ├── prj
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── mux2.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── mux2.root_partition.map.hdb
│   │   │   │   │   │   │   ├── mux2.root_partition.map.kpt
│   │   │   │   │   │   │   ├── mux2.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── mux2.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   ├── mux2.root_partition.map.cdb
│   │   │   │   │   │   │   ├── mux2.root_partition.map.dpi
│   │   │   │   │   │   │   ├── mux2.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── mux2.db_info
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── output_files
│   │   │   │   │   │   ├── mux2.done
│   │   │   │   │   │   ├── mux2.map.rpt
│   │   │   │   │   │   ├── mux2.flow.rpt
│   │   │   │   │   │   ├── mux2.map.summary
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── mux2.hif
│   │   │   │   │   │   ├── mux2.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── mux2.rtlv.hdb
│   │   │   │   │   │   ├── mux2.sgdiff.cdb
│   │   │   │   │   │   ├── mux2.pti_db_list.ddb
│   │   │   │   │   │   ├── mux2.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── mux2.cmp.rdb
│   │   │   │   │   │   ├── mux2.sld_design_entry.sci
│   │   │   │   │   │   ├── mux2.map.ammdb
│   │   │   │   │   │   ├── mux2.hier_info
│   │   │   │   │   │   ├── mux2.map_bb.logdb
│   │   │   │   │   │   ├── mux2.pre_map.hdb
│   │   │   │   │   │   ├── mux2.map.kpt
│   │   │   │   │   │   ├── mux2.map.cdb
│   │   │   │   │   │   ├── mux2.(0).cnf.hdb
│   │   │   │   │   │   ├── mux2.map_bb.cdb
│   │   │   │   │   │   ├── mux2.ipinfo
│   │   │   │   │   │   ├── mux2.map.rdb
│   │   │   │   │   │   ├── mux2.cbx.xml
│   │   │   │   │   │   ├── mux2.map.qmsg
│   │   │   │   │   │   ├── mux2.lpc.html
│   │   │   │   │   │   ├── mux2.smart_action.txt
│   │   │   │   │   │   ├── mux2.map_bb.hdb
│   │   │   │   │   │   ├── mux2.db_info
│   │   │   │   │   │   ├── prev_cmp_mux2.qmsg
│   │   │   │   │   │   ├── mux2.lpc.rdb
│   │   │   │   │   │   ├── mux2.root_partition.map.reg_db.cdb
│   │   │   │   │   │   ├── mux2.map.hdb
│   │   │   │   │   │   ├── mux2.syn_hier_info
│   │   │   │   │   │   ├── mux2.sgdiff.hdb
│   │   │   │   │   │   ├── mux2.map.bpm
│   │   │   │   │   │   ├── mux2.rtlv_sg.cdb
│   │   │   │   │   │   ├── mux2.tis_db_list.ddb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── mux2.lpc.txt
│   │   │   │   │   │   ├── mux2.(0).cnf.cdb
│   │   │   │   │   │   ├── mux2.map.logdb
│   │   │   │   │   │   ├── mux2.cmp_merge.kpt
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── mux4
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── mux4_tb
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   ├── mux2_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── mux2_run_msim_rtl_verilog.do.bak
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   ├── mux2.qpf
│   │   │   │   │   ├── mux2.qws
│   │   │   │   │   ├── mux2_nativelink_simulation.rpt
│   │   │   │   │   ├── mux2.qsf
│   │   │   │   ├── sim
│   │   │   │   │   ├── mux2_tb.v.bak
│   │   │   │   │   ├── mux4_tb.v.bak
│   │   │   │   │   ├── mux2_tb.v
│   │   │   │   │   ├── mux4_tb.v
│   │   │   │   ├── doc
│   │   │   │   │   ├── mux2.docx
│   │   │   ├── decoder3_8
│   │   │   │   ├── sim
│   │   │   │   │   ├── decoder3_8_v2_tb.v
│   │   │   │   │   ├── decoder3_8_tb.v.bak
│   │   │   │   │   ├── decoder3_8_tb.v
│   │   │   │   │   ├── decoder3_8_v2_tb.v.bak
│   │   │   │   ├── doc
│   │   │   │   ├── prj
│   │   │   │   │   ├── output_files
│   │   │   │   │   │   ├── decoder3_8.flow.rpt
│   │   │   │   │   │   ├── decoder3_8.done
│   │   │   │   │   │   ├── decoder3_8.map.summary
│   │   │   │   │   │   ├── decoder3_8.map.rpt
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── decoder3_8.root_partition.map.cdb
│   │   │   │   │   │   │   ├── decoder3_8.root_partition.map.kpt
│   │   │   │   │   │   │   ├── decoder3_8.db_info
│   │   │   │   │   │   │   ├── decoder3_8.root_partition.map.hdb
│   │   │   │   │   │   │   ├── decoder3_8.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── decoder3_8.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── decoder3_8.root_partition.map.dpi
│   │   │   │   │   │   │   ├── decoder3_8.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── decoder3_8.root_partition.map.hbdb.hdb
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── decoder3_8_v2
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── decoder3_8_v2_tb
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── decoder3_8_run_msim_rtl_verilog.do.bak
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── decoder3_8_run_msim_rtl_verilog.do
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── decoder3_8.smart_action.txt
│   │   │   │   │   │   ├── decoder3_8.rtlv_sg.cdb
│   │   │   │   │   │   ├── decoder3_8.pre_map.hdb
│   │   │   │   │   │   ├── decoder3_8.(1).cnf.cdb
│   │   │   │   │   │   ├── decoder3_8.map.bpm
│   │   │   │   │   │   ├── decoder3_8.map.hdb
│   │   │   │   │   │   ├── decoder3_8.(1).cnf.hdb
│   │   │   │   │   │   ├── decoder3_8.(0).cnf.hdb
│   │   │   │   │   │   ├── decoder3_8.cbx.xml
│   │   │   │   │   │   ├── decoder3_8.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── decoder3_8.map_bb.cdb
│   │   │   │   │   │   ├── decoder3_8.map_bb.hdb
│   │   │   │   │   │   ├── decoder3_8.hif
│   │   │   │   │   │   ├── decoder3_8.tmw_info
│   │   │   │   │   │   ├── decoder3_8.syn_hier_info
│   │   │   │   │   │   ├── decoder3_8.sld_design_entry.sci
│   │   │   │   │   │   ├── decoder3_8.ipinfo
│   │   │   │   │   │   ├── decoder3_8.sgdiff.hdb
│   │   │   │   │   │   ├── prev_cmp_decoder3_8.qmsg
│   │   │   │   │   │   ├── decoder3_8.map.logdb
│   │   │   │   │   │   ├── decoder3_8.pti_db_list.ddb
│   │   │   │   │   │   ├── decoder3_8.map.ammdb
│   │   │   │   │   │   ├── decoder3_8.map.qmsg
│   │   │   │   │   │   ├── decoder3_8.(0).cnf.cdb
│   │   │   │   │   │   ├── decoder3_8.lpc.rdb
│   │   │   │   │   │   ├── decoder3_8.lpc.html
│   │   │   │   │   │   ├── decoder3_8.hier_info
│   │   │   │   │   │   ├── decoder3_8.sgdiff.cdb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── decoder3_8.cmp.rdb
│   │   │   │   │   │   ├── decoder3_8.map.rdb
│   │   │   │   │   │   ├── decoder3_8.tis_db_list.ddb
│   │   │   │   │   │   ├── decoder3_8.map_bb.logdb
│   │   │   │   │   │   ├── decoder3_8.db_info
│   │   │   │   │   │   ├── decoder3_8.map.cdb
│   │   │   │   │   │   ├── decoder3_8.map.kpt
│   │   │   │   │   │   ├── decoder3_8.root_partition.map.reg_db.cdb
│   │   │   │   │   │   ├── decoder3_8.cmp_merge.kpt
│   │   │   │   │   │   ├── decoder3_8.lpc.txt
│   │   │   │   │   │   ├── decoder3_8.rtlv.hdb
│   │   │   │   │   │   ├── decoder3_8.rtlv_sg_swap.cdb
│   │   │   │   │   ├── decoder3_8.qpf
│   │   │   │   │   ├── decoder3_8.qsf
│   │   │   │   │   ├── decoder3_8_nativelink_simulation.rpt
│   │   │   │   │   ├── decoder3_8.qws
│   │   │   │   ├── src
│   │   │   │   │   ├── decoder3_8_v2.v
│   │   │   │   │   ├── decoder3_8_v2.v.bak
│   │   │   │   │   ├── decoder3_8.v
│   │   │   │   │   ├── decoder3_8.v.bak
│   │   │   ├── 0513102520.avi
│   │   │   ├── 0513090158.avi
│   │   ├── 0726
│   │   │   ├── 0726165502.avi
│   │   │   ├── 0726114352.avi
│   │   │   ├── 0726133557.avi
│   │   │   ├── 0726090539.avi
│   │   ├── 0811
│   │   │   ├── 20200811
│   │   │   │   ├── 武汉内训08011.pdf
│   │   │   ├── 武汉内训课程:离散同步信号分析.mp4
│   │   ├── 20200928
│   │   │   ├── FPGA与通信技术:通信基础.mp4
│   │   ├── 0824
│   │   │   ├── 20200824
│   │   │   │   ├── 同步连续信号分析考试题.pdf
│   │   │   │   ├── 20200824.rar
│   │   │   │   ├── 武汉内训08024.pdf
│   │   │   ├── 课程链接分享.txt
│   │   │   ├── 扫码听课_0824.jpg
│   │   ├── 0724
│   │   │   ├── 视频_0724
│   │   │   │   ├── 武汉内训课程:RTL建模2.mp4
│   │   │   │   ├── 武汉内训课程:RTL建模1.mp4
│   │   │   ├── 20200724
│   │   │   │   ├── a1
│   │   │   │   │   ├── lpm_bcd_convertor
│   │   │   │   │   │   ├── simulation
│   │   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   │   ├── bcd_singel_modify
│   │   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── lpm_bcd_convertor
│   │   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   │   ├── lpm_bcd_convertor_tb
│   │   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── bcd_modify
│   │   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   │   ├── lpm_bcd_convertor_run_msim_rtl_verilog.do.bak
│   │   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   │   ├── lpm_bcd_convertor_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   │   ├── lpm_bcd_convertor.root_partition.map.kpt
│   │   │   │   │   │   │   │   ├── lpm_bcd_convertor.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   │   ├── lpm_bcd_convertor.db_info
│   │   │   │   │   │   │   │   ├── lpm_bcd_convertor.root_partition.map.hdb
│   │   │   │   │   │   │   │   ├── lpm_bcd_convertor.root_partition.map.hbdb.hdb
│   │   │   │   │   │   │   │   ├── lpm_bcd_convertor.root_partition.map.cdb
│   │   │   │   │   │   │   │   ├── lpm_bcd_convertor.root_partition.map.dpi
│   │   │   │   │   │   │   │   ├── lpm_bcd_convertor.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   │   ├── lpm_bcd_convertor.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── README
│   │   │   │   │   │   ├── db
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.map.qmsg
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.(2).cnf.cdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.smart_action.txt
│   │   │   │   │   │   │   ├── prev_cmp_lpm_bcd_convertor.qmsg
│   │   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.hif
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.map_bb.logdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.map.cdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.map.logdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.lpc.rdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.map_bb.hdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.map_bb.cdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.sgdiff.cdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.map.kpt
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.(0).cnf.cdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.map.bpm
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.(1).cnf.cdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.map.hdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.(1).cnf.hdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.lpc.html
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.syn_hier_info
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.sgdiff.hdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.tis_db_list.ddb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.pre_map.hdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.cbx.xml
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.lpc.txt
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.rtlv_sg.cdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.sld_design_entry.sci
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.rtlv_sg_swap.cdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.sld_design_entry_dsc.sci
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.(0).cnf.hdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.db_info
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.cmp.rdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.cmp_merge.kpt
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.pre_map.cdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.hier_info
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.(2).cnf.hdb
│   │   │   │   │   │   │   ├── lpm_bcd_convertor.rtlv.hdb
│   │   │   │   │   │   ├── bcd_modify.sv
│   │   │   │   │   │   ├── lpm_bcd_convertor.qpf
│   │   │   │   │   │   ├── lpm_bcd_convertor.map.rpt
│   │   │   │   │   │   ├── bcd_singel_modify.sv
│   │   │   │   │   │   ├── lpm_bcd_convertor_tb.sv.bak
│   │   │   │   │   │   ├── lpm_bcd_convertor_tb.sv
│   │   │   │   │   │   ├── lpm_bcd_convertor.sv.bak
│   │   │   │   │   │   ├── bcd_modify.sv.bak
│   │   │   │   │   │   ├── bcd_singel_modify.sv.bak
│   │   │   │   │   │   ├── lpm_bcd_convertor.done
│   │   │   │   │   │   ├── lpm_bcd_convertor.flow.rpt
│   │   │   │   │   │   ├── lpm_bcd_convertor_nativelink_simulation.rpt
│   │   │   │   │   │   ├── lpm_bcd_convertor.sv
│   │   │   │   │   │   ├── lpm_bcd_convertor.qsf
│   │   │   │   │   │   ├── lpm_bcd_convertor.map.summary
│   │   │   │   │   ├── 练习题2.docx
│   │   │   │   ├── mux2s1
│   │   │   │   │   ├── simulation
│   │   │   │   │   │   ├── modelsim
│   │   │   │   │   │   │   ├── rtl_work
│   │   │   │   │   │   │   │   ├── mux2s1_structrue_tb
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   ├── _temp
│   │   │   │   │   │   │   │   ├── mux2s1_structrue
│   │   │   │   │   │   │   │   │   ├── _primary.vhd
│   │   │   │   │   │   │   │   │   ├── _primary.dat
│   │   │   │   │   │   │   │   │   ├── verilog.prw
│   │   │   │   │   │   │   │   │   ├── _primary.dbs
│   │   │   │   │   │   │   │   │   ├── verilog.psm
│   │   │   │   │   │   │   │   ├── _info
│   │   │   │   │   │   │   │   ├── _vmake
│   │   │   │   │   │   │   ├── vsim.wlf
│   │   │   │   │   │   │   ├── msim_transcript
│   │   │   │   │   │   │   ├── mux2s1_run_msim_rtl_verilog.do
│   │   │   │   │   │   │   ├── modelsim.ini
│   │   │   │   │   │   │   ├── mux2s1_run_msim_rtl_verilog.do.bak
│   │   │   │   │   │   │   ├── mux2s1_run_msim_rtl_verilog.do.bak1
│   │   │   │   │   ├── db
│   │   │   │   │   │   ├── mux2s1.(0).cnf.cdb
│   │   │   │   │   │   ├── mux2s1.(2).cnf.cdb
│   │   │   │   │   │   ├── mux2s1.cmp.rdb
│   │   │   │   │   │   ├── mux2s1.lpc.rdb
│   │   │   │   │   │   ├── mux2s1.pre_map.hdb
│   │   │   │   │   │   ├── mux2s1.cbx.xml
│   │   │   │   │   │   ├── mux2s1.map.qmsg
│   │   │   │   │   │   ├── mux2s1.sld_design_entry_dsc.sci
│   │   │   │   │   │   ├── mux2s1.map.logdb
│   │   │   │   │   │   ├── logic_util_heursitic.dat
│   │   │   │   │   │   ├── mux2s1.(2).cnf.hdb
│   │   │   │   │   │   ├── mux2s1.cmp_merge.kpt
│   │   │   │   │   │   ├── mux2s1.(0).cnf.hdb
│   │   │   │   │   │   ├── mux2s1.(1).cnf.hdb
│   │   │   │   │   │   ├── mux2s1.map_bb.hdb
│   │   │   │   │   │   ├── mux2s1.map.cdb
│   │   │   │   │   │   ├── mux2s1.(1).cnf.cdb
│   │   │   │   │   │   ├── mux2s1.sld_design_entry.sci
│   │   │   │   │   │   ├── mux2s1.map.bpm
│   │   │   │   │   │   ├── mux2s1.syn_hier_info
│   │   │   │   │   │   ├── mux2s1.lpc.txt
│   │   │   │   │   │   ├── mux2s1.rtlv.hdb
│   │   │   │   │   │   ├── mux2s1.map_bb.cdb
│   │   │   │   │   │   ├── mux2s1.map.kpt
│   │   │   │   │   │   ├── mux2s1.lpc.html
│   │   │   │   │   │   ├── mux2s1.map.hdb
│   │   │   │   │   │   ├── mux2s1.tmw_info
│   │   │   │   │   │   ├── mux2s1.tis_db_list.ddb
│   │   │   │   │   │   ├── mux2s1.smart_action.txt
│   │   │   │   │   │   ├── mux2s1.map_bb.logdb
│   │   │   │   │   │   ├── prev_cmp_mux2s1.qmsg
│   │   │   │   │   │   ├── mux2s1.db_info
│   │   │   │   │   │   ├── mux2s1.pre_map.cdb
│   │   │   │   │   │   ├── mux2s1.hier_info
│   │   │   │   │   │   ├── mux2s1.hif
│   │   │   │   │   │   ├── mux2s1.rtlv_sg.cdb
│   │   │   │   │   │   ├── mux2s1.sgdiff.hdb
│   │   │   │   │   │   ├── mux2s1.rtlv_sg_swap.cdb
│   │   │   │   │   │   ├── mux2s1.sgdiff.cdb
│   │   │   │   │   ├── incremental_db
│   │   │   │   │   │   ├── compiled_partitions
│   │   │   │   │   │   │   ├── mux2s1.root_partition.map.hbdb.sig
│   │   │   │   │   │   │   ├── mux2s1.root_partition.map.cdb
│   │   │   │   │   │   │   ├── mux2s1.root_partition.map.hbdb.cdb
│   │   │   │   │   │   │   ├── mux2s1.root_partition.map.hdb
│   │   │   │   │   │   │   ├── mux2s1.root_partition.map.dpi
│   │   │   │   │   │   │   ├── mux2s1.db_info
│   │   │   │   │   │   │   ├── mux2s1.root_partition.map.hbdb.hb_info
│   │   │   │   │   │   │   ├── mux2s1.root_partition.map.kpt
│   │   │   │   │   │   │   ├── mux2s1.root_partition.map.hbdb.hdb
│   │   │   │   │   │   ├── README
│   │   │   │   │   ├── mux2s1_behaviour_tb.sv
│   │   │   │   │   ├── mux2s1_datflow.sv
│   │   │   │   │   ├── mux2s1.map.rpt
│   │   │   │   │   ├── mux2s1_structrue.sv.bak
│   │   │   │   │   ├── mux2s1_datflow_tb.sv.bak
│   │   │   │   │   ├── mux2s1.qsf
│   │   │   │   │   ├── mux2s1.done
│   │   │   │   │   ├── mux2s1_nativelink_simulation.rpt
│   │   │   │   │   ├── mux2s1.sv.bak
│   │   │   │   │   ├── mux2s1_behaviour_tb.sv.bak
│   │   │   │   │   ├── mux2s1.sv
│   │   │   │   │   ├── mux2s1_datflow.sv.bak
│   │   │   │   │   ├── mux2s1_behaviour.sv.bak
│   │   │   │   │   ├── mux2s1_structrue_tb.sv
│   │   │   │   │   ├── mux2s1.map.summary
│   │   │   │   │   ├── mux2s1_structrue_tb.sv.bak
│   │   │   │   │   ├── mux2s1.flow.rpt
│   │   │   │   │   ├── mux2s1_datflow_tb.sv
│   │   │   │   │   ├── mux2s1_structrue.sv
│   │   │   │   │   ├── mux2s1_behaviour.sv
│   │   │   │   │   ├── mux2s1.qpf
│   │   │   │   ├── a1.rar
│   │   │   │   ├── a.rar
│   │   │   │   ├── 练习题.docx
│   │   │   │   ├── mux2s1.rar
│   │   ├── 0521
│   │   │   ├── 0521102701.avi
│   │   │   ├── 0521.zip
│   │   │   ├── 0521090713.avi
│   │   ├── 20200923
│   │   │   ├── 造芯工程:RISC_WH2020.mp4
│   │   ├── 0610
│   │   │   ├── 0610090154.avi
│   │   │   ├── auto_seller_v2.zip
│   │   ├── 0828
│   │   │   ├── 数字逻辑通信基础.mp4
│   │   ├── 200928.rar
声明:本站所有文章,如无特殊说明或标注,均为本站原创发布。任何个人或组织,在未征得本站同意时,禁止复制、盗用、采集、发布本站内容到任何网站、书籍等各类媒体平台。如若本站内容侵犯了原著者的合法权益,可联系我们进行处理。